xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 24726fbfdd9e62f07aa058a6fbc157122c91a6df)
11e3fad10SLinJiaweipackage xiangshan
21e3fad10SLinJiawei
31e3fad10SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
5e402d94eSWilliam Wangimport bus.simplebus._
6bfa4b2b4SLinJiaweiimport xiangshan.backend.brq.BrqPtr
70851457fSLinJiaweiimport xiangshan.backend.rename.FreeListPtr
866b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
9f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
10f3501106SGouLingruiimport xiangshan.frontend.HasTageParameter
111e3fad10SLinJiawei
125844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
131e3fad10SLinJiaweiclass FetchPacket extends XSBundle {
1428958354Szhanglinjuan  val instrs = Vec(PredictWidth, UInt(32.W))
1528958354Szhanglinjuan  val mask = UInt(PredictWidth.W)
1642696a74Szhanglinjuan  // val pc = UInt(VAddrBits.W)
1742696a74Szhanglinjuan  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
1828958354Szhanglinjuan  val pnpc = Vec(PredictWidth, UInt(VAddrBits.W))
19a428082bSLinJiawei  val brInfo = Vec(PredictWidth, new BranchInfo)
20a428082bSLinJiawei  val pd = Vec(PredictWidth, new PreDecodeInfo)
215a67e465Szhanglinjuan  val ipf = Bool()
225a67e465Szhanglinjuan  val crossPageIPFFix = Bool()
231e3fad10SLinJiawei}
241e3fad10SLinJiawei
25627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
263803411bSzhanglinjuan  val valid = Bool()
2735fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
28627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
293803411bSzhanglinjuan}
303803411bSzhanglinjuan
31627c0a19Szhanglinjuanobject ValidUndirectioned {
32627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
33627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
343803411bSzhanglinjuan  }
353803411bSzhanglinjuan}
363803411bSzhanglinjuan
37f3501106SGouLingruiclass TageMeta extends XSBundle with HasTageParameter {
38627c0a19Szhanglinjuan  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
391e7d14a8Szhanglinjuan  val altDiffers = Bool()
401e7d14a8Szhanglinjuan  val providerU = UInt(2.W)
411e7d14a8Szhanglinjuan  val providerCtr = UInt(3.W)
42627c0a19Szhanglinjuan  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
431e7d14a8Szhanglinjuan}
441e7d14a8Szhanglinjuan
4566b0d0c3Szhanglinjuanclass BranchPrediction extends XSBundle {
4666b0d0c3Szhanglinjuan  val redirect = Bool()
47e3aeae54SLingrui98  val taken = Bool()
4866b0d0c3Szhanglinjuan  val jmpIdx = UInt(log2Up(PredictWidth).W)
49e3aeae54SLingrui98  val hasNotTakenBrs = Bool()
5066b0d0c3Szhanglinjuan  val target = UInt(VAddrBits.W)
5166b0d0c3Szhanglinjuan  val saveHalfRVI = Bool()
524a5c1190SGouLingrui  val takenOnBr = Bool()
5366b0d0c3Szhanglinjuan}
5466b0d0c3Szhanglinjuan
55f00290d7SLingrui98class BranchInfo extends XSBundle with HasBPUParameter {
5653bf6077SLingrui98  val ubtbWriteWay = UInt(log2Up(UBtbWays).W)
57e3aeae54SLingrui98  val ubtbHits = Bool()
5853bf6077SLingrui98  val btbWriteWay = UInt(log2Up(BtbWays).W)
59035fad39SGouLingrui  val btbHitJal = Bool()
60e3aeae54SLingrui98  val bimCtr = UInt(2.W)
6166b0d0c3Szhanglinjuan  val histPtr = UInt(log2Up(ExtHistoryLength).W)
624a9bbf04SGouLingrui  val predHistPtr = UInt(log2Up(ExtHistoryLength).W)
63f226232fSzhanglinjuan  val tageMeta = new TageMeta
6466b0d0c3Szhanglinjuan  val rasSp = UInt(log2Up(RasSize).W)
6566b0d0c3Szhanglinjuan  val rasTopCtr = UInt(8.W)
66ed809609Sjinyue110  val rasToqAddr = UInt(VAddrBits.W)
67c5ed092cSLingrui98  val fetchIdx = UInt(log2Up(PredictWidth).W)
687d053a60Szhanglinjuan  val specCnt = UInt(10.W)
694a5c1190SGouLingrui  val sawNotTakenBranch = Bool()
70f226232fSzhanglinjuan
713a48285bSGouLingrui  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
723a48285bSGouLingrui  val debug_btb_cycle  = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
733a48285bSGouLingrui  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
74ec776fa0SLingrui98
75f226232fSzhanglinjuan  def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
76f226232fSzhanglinjuan    this.histPtr := histPtr
77f226232fSzhanglinjuan    this.tageMeta := tageMeta
78f226232fSzhanglinjuan    this.rasSp := rasSp
7980d2974bSLingrui98    this.rasTopCtr := rasTopCtr
80f226232fSzhanglinjuan    this.asUInt
81f226232fSzhanglinjuan  }
82f226232fSzhanglinjuan  def size = 0.U.asTypeOf(this).getWidth
83f226232fSzhanglinjuan  def fromUInt(x: UInt) = x.asTypeOf(this)
8466b0d0c3Szhanglinjuan}
8566b0d0c3Szhanglinjuan
866fb61704Szhanglinjuanclass Predecode extends XSBundle {
87e9199ec7Szhanglinjuan  val isFetchpcEqualFirstpc = Bool()
882f931f37Szhanglinjuan  val mask = UInt((FetchWidth*2).W)
8966b0d0c3Szhanglinjuan  val pd = Vec(FetchWidth*2, (new PreDecodeInfo))
906fb61704Szhanglinjuan}
916fb61704Szhanglinjuan
92b2e6921eSLinJiaweiclass BranchUpdateInfo extends XSBundle {
93f226232fSzhanglinjuan  // from backend
9469cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
95608ba82cSzhanglinjuan  val pnpc = UInt(VAddrBits.W)
9669cafcc9SLingrui98  val target = UInt(VAddrBits.W)
97b2e6921eSLinJiawei  val brTarget = UInt(VAddrBits.W)
98b2e6921eSLinJiawei  val taken = Bool()
99b2e6921eSLinJiawei  val fetchIdx = UInt(log2Up(FetchWidth*2).W)
100b2e6921eSLinJiawei  val isMisPred = Bool()
101e965d004Szhanglinjuan  val brTag = new BrqPtr
102f226232fSzhanglinjuan
103f226232fSzhanglinjuan  // frontend -> backend -> frontend
104f226232fSzhanglinjuan  val pd = new PreDecodeInfo
105f226232fSzhanglinjuan  val brInfo = new BranchInfo
106b2e6921eSLinJiawei}
107b2e6921eSLinJiawei
1085844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
1095844fcf0SLinJiaweiclass CtrlFlow extends XSBundle {
1105844fcf0SLinJiawei  val instr = UInt(32.W)
1115844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
1125844fcf0SLinJiawei  val exceptionVec = Vec(16, Bool())
1135844fcf0SLinJiawei  val intrVec = Vec(12, Bool())
114b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
115c84054caSLinJiawei  val crossPageIPFFix = Bool()
1165844fcf0SLinJiawei}
1175844fcf0SLinJiawei
1185844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1195844fcf0SLinJiaweiclass CtrlSignals extends XSBundle {
1209a2e6b8aSLinJiawei  val src1Type, src2Type, src3Type = SrcType()
1219a2e6b8aSLinJiawei  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
1229a2e6b8aSLinJiawei  val ldest = UInt(5.W)
1239a2e6b8aSLinJiawei  val fuType = FuType()
1249a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1259a2e6b8aSLinJiawei  val rfWen = Bool()
1269a2e6b8aSLinJiawei  val fpWen = Bool()
1279a2e6b8aSLinJiawei  val isXSTrap = Bool()
1289a2e6b8aSLinJiawei  val noSpecExec = Bool()  // This inst can not be speculated
1299a2e6b8aSLinJiawei  val isBlocked  = Bool()  // This inst requires pipeline to be blocked
13045a56a29SZhangZifei  val flushPipe  = Bool()  // This inst will flush all the pipe when commit, like exception but can commit
131db34a189SLinJiawei  val isRVF = Bool()
132db34a189SLinJiawei  val imm = UInt(XLEN.W)
133a3edac52SYinan Xu  val commitType = CommitType()
1345844fcf0SLinJiawei}
1355844fcf0SLinJiawei
1365844fcf0SLinJiaweiclass CfCtrl extends XSBundle {
1375844fcf0SLinJiawei  val cf = new CtrlFlow
1385844fcf0SLinJiawei  val ctrl = new CtrlSignals
139bfa4b2b4SLinJiawei  val brTag = new BrqPtr
1405844fcf0SLinJiawei}
1415844fcf0SLinJiawei
142b2e6921eSLinJiaweitrait HasRoqIdx { this: HasXSParameter =>
143b2e6921eSLinJiawei  val roqIdx = UInt(RoqIdxWidth.W)
144054d37b6SLinJiawei
145054d37b6SLinJiawei  def isAfter(thatIdx: UInt): Bool = {
146054d37b6SLinJiawei    Mux(
147054d37b6SLinJiawei      this.roqIdx.head(1) === thatIdx.head(1),
148054d37b6SLinJiawei      this.roqIdx.tail(1) > thatIdx.tail(1),
149054d37b6SLinJiawei      this.roqIdx.tail(1) < thatIdx.tail(1)
150b2e6921eSLinJiawei    )
151b2e6921eSLinJiawei  }
152054d37b6SLinJiawei
153152e2ceaSLinJiawei  def isAfter[ T<: HasRoqIdx ](that: T): Bool = {
154152e2ceaSLinJiawei    isAfter(that.roqIdx)
155152e2ceaSLinJiawei  }
156152e2ceaSLinJiawei
157054d37b6SLinJiawei  def needFlush(redirect: Valid[Redirect]): Bool = {
158be4f8987SZhangZifei    redirect.valid && (redirect.bits.isException || redirect.bits.isFlushPipe || this.isAfter(redirect.bits.roqIdx)) // TODO: need check by JiaWei
159054d37b6SLinJiawei  }
160b2e6921eSLinJiawei}
1615844fcf0SLinJiawei
162*24726fbfSWilliam Wang// Load / Store Index
163*24726fbfSWilliam Wang//
164*24726fbfSWilliam Wang// When using unified lsroq, lsIdx serves as lsroqIdx,
165*24726fbfSWilliam Wang// while separated lq and sq is used, lsIdx consists of lqIdx, sqIdx and l/s type.
166*24726fbfSWilliam Wang// All lsroqIdx will be replaced by new lsIdx in the future.
167*24726fbfSWilliam Wangtrait HasLSIdx { this: HasXSParameter =>
168*24726fbfSWilliam Wang  if(EnableUnifiedLSQ){
169*24726fbfSWilliam Wang    val lsroqIdx = UInt(LsroqIdxWidth.W)
170*24726fbfSWilliam Wang    def isEqual(thatIdx: UInt): Bool = {
171*24726fbfSWilliam Wang      this.lsroqIdx === thatIdx
172*24726fbfSWilliam Wang    }
173*24726fbfSWilliam Wang
174*24726fbfSWilliam Wang    def isAfter(thatIdx: UInt): Bool = {
175*24726fbfSWilliam Wang      Mux(
176*24726fbfSWilliam Wang        this.lsroqIdx.head(1) === thatIdx.head(1),
177*24726fbfSWilliam Wang        this.lsroqIdx.tail(1) > thatIdx.tail(1),
178*24726fbfSWilliam Wang        this.lsroqIdx.tail(1) < thatIdx.tail(1)
179*24726fbfSWilliam Wang      )
180*24726fbfSWilliam Wang    }
181*24726fbfSWilliam Wang
182*24726fbfSWilliam Wang    def isAfter[ T<: HasLSIdx ](that: T): Bool = {
183*24726fbfSWilliam Wang      isAfter(that.lsroqIdx)
184*24726fbfSWilliam Wang    }
185*24726fbfSWilliam Wang  } else {
186*24726fbfSWilliam Wang    val lqIdx = UInt(LoadQueueIdxWidth)
187*24726fbfSWilliam Wang    val sqIdx = UInt(StoreQueueIdxWidth)
188*24726fbfSWilliam Wang    val instIsLoad = Bool()
189*24726fbfSWilliam Wang
190*24726fbfSWilliam Wang    def isLoad(): Bool = this.instIsLoad
191*24726fbfSWilliam Wang
192*24726fbfSWilliam Wang    def isLoadAfter(thatLqIdx: UInt): Bool = {
193*24726fbfSWilliam Wang      Mux(
194*24726fbfSWilliam Wang        this.lqIdx.head(1) === thatLqIdx.head(1),
195*24726fbfSWilliam Wang        this.lqIdx.tail(1) > thatLqIdx.tail(1),
196*24726fbfSWilliam Wang        this.lqIdx.tail(1) < thatLqIdx.tail(1)
197*24726fbfSWilliam Wang      )
198*24726fbfSWilliam Wang    }
199*24726fbfSWilliam Wang
200*24726fbfSWilliam Wang    def isLoadAfter[ T<: HasLSIdx ](that: T): Bool = {
201*24726fbfSWilliam Wang      isLoadAfter(that.lqIdx)
202*24726fbfSWilliam Wang    }
203*24726fbfSWilliam Wang
204*24726fbfSWilliam Wang    def isStoreAfter(thatSqIdx: UInt): Bool = {
205*24726fbfSWilliam Wang      Mux(
206*24726fbfSWilliam Wang        this.sqIdx.head(1) === thatSqIdx.head(1),
207*24726fbfSWilliam Wang        this.sqIdx.tail(1) > thatSqIdx.tail(1),
208*24726fbfSWilliam Wang        this.sqIdx.tail(1) < thatSqIdx.tail(1)
209*24726fbfSWilliam Wang      )
210*24726fbfSWilliam Wang    }
211*24726fbfSWilliam Wang
212*24726fbfSWilliam Wang    def isStoreAfter[ T<: HasLSIdx ](that: T): Bool = {
213*24726fbfSWilliam Wang      isStoreAfter(that.sqIdx)
214*24726fbfSWilliam Wang    }
215*24726fbfSWilliam Wang
216*24726fbfSWilliam Wang    // TODO: refactor isAfter
217*24726fbfSWilliam Wang
218*24726fbfSWilliam Wang    // def isAfter(lqIdx: UInt, sqIdx: UInt, instIsLoad: Bool): Bool = {
219*24726fbfSWilliam Wang    //   // there are 4 cases:
220*24726fbfSWilliam Wang    //   // load  <-> load
221*24726fbfSWilliam Wang    //   // load  <-> store
222*24726fbfSWilliam Wang    //   // store <-> load
223*24726fbfSWilliam Wang    //   // store <-> store
224*24726fbfSWilliam Wang    //   Mux(
225*24726fbfSWilliam Wang    //     this.lsroqIdx.head(1) === thatIdx.head(1),
226*24726fbfSWilliam Wang    //     this.lsroqIdx.tail(1) > thatIdx.tail(1),
227*24726fbfSWilliam Wang    //     this.lsroqIdx.tail(1) < thatIdx.tail(1)
228*24726fbfSWilliam Wang    //   )
229*24726fbfSWilliam Wang    // }
230*24726fbfSWilliam Wang
231*24726fbfSWilliam Wang    // def isAfter[ T<: HasLSIdx ](that: T): Bool = {
232*24726fbfSWilliam Wang    //   isAfter(that.lsroqIdx)
233*24726fbfSWilliam Wang    // }
234*24726fbfSWilliam Wang  }
235*24726fbfSWilliam Wang}
236*24726fbfSWilliam Wang
237*24726fbfSWilliam Wangclass LSIdx extends XSBundle with HasLSIdx {}
238*24726fbfSWilliam Wang
239b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
240*24726fbfSWilliam Wangclass MicroOp extends CfCtrl with HasRoqIdx with HasLSIdx {
2419a2e6b8aSLinJiawei  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
2429a2e6b8aSLinJiawei  val src1State, src2State, src3State = SrcState()
243355fcd20SAllen  val diffTestDebugLrScValid = Bool()
2445844fcf0SLinJiawei}
2455844fcf0SLinJiawei
246b2e6921eSLinJiaweiclass Redirect extends XSBundle with HasRoqIdx {
24737fcf7fbSLinJiawei  val isException = Bool()
248b2e6921eSLinJiawei  val isMisPred = Bool()
249b2e6921eSLinJiawei  val isReplay = Bool()
25045a56a29SZhangZifei  val isFlushPipe = Bool()
251b2e6921eSLinJiawei  val pc = UInt(VAddrBits.W)
252b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
253b2e6921eSLinJiawei  val brTag = new BrqPtr
254a25b1bceSLinJiawei}
255a25b1bceSLinJiawei
2565844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle {
2575c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
2585c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
2595c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
2605844fcf0SLinJiawei}
2615844fcf0SLinJiawei
26260deaca2SLinJiaweiclass ReplayPregReq extends XSBundle {
26360deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
26460deaca2SLinJiawei  val isInt = Bool()
26560deaca2SLinJiawei  val isFp = Bool()
26660deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
2675844fcf0SLinJiawei}
2685844fcf0SLinJiawei
269e402d94eSWilliam Wangclass DebugBundle extends XSBundle{
27072235fa4SWilliam Wang  val isMMIO = Bool()
271e402d94eSWilliam Wang}
2725844fcf0SLinJiawei
2735844fcf0SLinJiaweiclass ExuInput extends XSBundle {
2745844fcf0SLinJiawei  val uop = new MicroOp
2755844fcf0SLinJiawei  val src1, src2, src3 = UInt(XLEN.W)
2765844fcf0SLinJiawei}
2775844fcf0SLinJiawei
2785844fcf0SLinJiaweiclass ExuOutput extends XSBundle {
2795844fcf0SLinJiawei  val uop = new MicroOp
2805844fcf0SLinJiawei  val data = UInt(XLEN.W)
28197cfa7f8SLinJiawei  val redirectValid = Bool()
28297cfa7f8SLinJiawei  val redirect = new Redirect
283b2e6921eSLinJiawei  val brUpdate = new BranchUpdateInfo
284e402d94eSWilliam Wang  val debug = new DebugBundle
2855844fcf0SLinJiawei}
2865844fcf0SLinJiawei
2875844fcf0SLinJiaweiclass ExuIO extends XSBundle {
2885844fcf0SLinJiawei  val in = Flipped(DecoupledIO(new ExuInput))
289c3174e61SZhangZifei  val redirect = Flipped(ValidIO(new Redirect))
2905844fcf0SLinJiawei  val out = DecoupledIO(new ExuOutput)
291bf9968b2SYinan Xu  // for csr
292bf9968b2SYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
293e402d94eSWilliam Wang  // for Lsu
294e402d94eSWilliam Wang  val dmem = new SimpleBusUC
29511915f69SWilliam Wang  val mcommit = Input(UInt(3.W))
2965844fcf0SLinJiawei}
2975844fcf0SLinJiawei
2985844fcf0SLinJiaweiclass RoqCommit extends XSBundle {
2995844fcf0SLinJiawei  val uop = new MicroOp
300296e7422SLinJiawei  val isWalk = Bool()
3015844fcf0SLinJiawei}
3025844fcf0SLinJiawei
303037a131fSWilliam Wangclass TlbFeedback extends XSBundle with HasRoqIdx{
304037a131fSWilliam Wang  val hit = Bool()
305037a131fSWilliam Wang}
306037a131fSWilliam Wang
3075844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle {
3085844fcf0SLinJiawei  // to backend end
3095844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
3105844fcf0SLinJiawei  // from backend
311b2e6921eSLinJiawei  val redirect = Flipped(ValidIO(new Redirect))
312b2e6921eSLinJiawei  val outOfOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
313b2e6921eSLinJiawei  val inOrderBrInfo = Flipped(ValidIO(new BranchUpdateInfo))
3141e3fad10SLinJiawei}
315fcff7e94SZhangZifei
316fcff7e94SZhangZifeiclass TlbCsrBundle extends XSBundle {
317fcff7e94SZhangZifei  val satp = new Bundle {
318fcff7e94SZhangZifei    val mode = UInt(4.W) // TODO: may change number to parameter
319fcff7e94SZhangZifei    val asid = UInt(16.W)
320fcff7e94SZhangZifei    val ppn  = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
321fcff7e94SZhangZifei  }
322fcff7e94SZhangZifei  val priv = new Bundle {
323fcff7e94SZhangZifei    val mxr = Bool()
324fcff7e94SZhangZifei    val sum = Bool()
325fcff7e94SZhangZifei    val imode = UInt(2.W)
326fcff7e94SZhangZifei    val dmode = UInt(2.W)
327fcff7e94SZhangZifei  }
3288fc4e859SZhangZifei
3298fc4e859SZhangZifei  override def toPrintable: Printable = {
3308fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
3318fc4e859SZhangZifei    p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
3328fc4e859SZhangZifei  }
333fcff7e94SZhangZifei}
334fcff7e94SZhangZifei
335fcff7e94SZhangZifeiclass SfenceBundle extends XSBundle {
336fcff7e94SZhangZifei  val valid = Bool()
337fcff7e94SZhangZifei  val bits = new Bundle {
338fcff7e94SZhangZifei    val rs1 = Bool()
339fcff7e94SZhangZifei    val rs2 = Bool()
340fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
341fcff7e94SZhangZifei  }
3428fc4e859SZhangZifei
3438fc4e859SZhangZifei  override def toPrintable: Printable = {
3448fc4e859SZhangZifei    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
3458fc4e859SZhangZifei  }
346fcff7e94SZhangZifei}
347