xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 2225d46ebbe2fd16b9b29963c27a7d0385a42709)
11e3fad10SLinJiaweipackage xiangshan
21e3fad10SLinJiawei
31e3fad10SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
542707b3bSYinan Xuimport xiangshan.backend.roq.RoqPtr
6*2225d46eSJiawei Linimport xiangshan.backend.decode.{ImmUnion, WaitTableParameters, XDecode}
75c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
8bbfca13aSzoujrimport xiangshan.frontend.PreDecodeInfoForDebug
966b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
10f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
112b8b2e7aSWilliam Wangimport xiangshan.frontend.PreDecodeInfo
12f3501106SGouLingruiimport xiangshan.frontend.HasTageParameter
13a58f4119SLingrui98import xiangshan.frontend.HasSCParameter
14ceaf5e1fSLingrui98import xiangshan.frontend.HasIFUConst
15f634c609SLingrui98import xiangshan.frontend.GlobalHistory
167447ee13SLingrui98import xiangshan.frontend.RASEntry
172b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl
18ceaf5e1fSLingrui98import utils._
19b0ae3ac4SLinJiawei
202fbdb79bSLingrui98import scala.math.max
21d471c5aeSLingrui98import Chisel.experimental.chiselName
22*2225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
23884dbb3bSLinJiaweiimport xiangshan.backend.ftq.FtqPtr
241e3fad10SLinJiawei
255844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
26*2225d46eSJiawei Linclass FetchPacket(implicit p: Parameters) extends XSBundle with WaitTableParameters {
2728958354Szhanglinjuan  val instrs = Vec(PredictWidth, UInt(32.W))
2828958354Szhanglinjuan  val mask = UInt(PredictWidth.W)
294ec80874Szoujr  val pdmask = UInt(PredictWidth.W)
3042696a74Szhanglinjuan  // val pc = UInt(VAddrBits.W)
3142696a74Szhanglinjuan  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
322b8b2e7aSWilliam Wang  val foldpc = Vec(PredictWidth, UInt(WaitTableAddrWidth.W))
33a428082bSLinJiawei  val pd = Vec(PredictWidth, new PreDecodeInfo)
345a67e465Szhanglinjuan  val ipf = Bool()
357e6acce3Sjinyue110  val acf = Bool()
365a67e465Szhanglinjuan  val crossPageIPFFix = Bool()
37744c623cSLingrui98  val pred_taken = UInt(PredictWidth.W)
38744c623cSLingrui98  val ftqPtr = new FtqPtr
391e3fad10SLinJiawei}
401e3fad10SLinJiawei
41627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
423803411bSzhanglinjuan  val valid = Bool()
4335fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
44fe211d16SLinJiawei
45627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
463803411bSzhanglinjuan}
473803411bSzhanglinjuan
48627c0a19Szhanglinjuanobject ValidUndirectioned {
49627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
50627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
513803411bSzhanglinjuan  }
523803411bSzhanglinjuan}
533803411bSzhanglinjuan
54*2225d46eSJiawei Linclass SCMeta(val useSC: Boolean)(implicit p: Parameters) extends XSBundle with HasSCParameter {
552fbdb79bSLingrui98  val tageTaken = if (useSC) Bool() else UInt(0.W)
562fbdb79bSLingrui98  val scUsed = if (useSC) Bool() else UInt(0.W)
572fbdb79bSLingrui98  val scPred = if (useSC) Bool() else UInt(0.W)
582fbdb79bSLingrui98  // Suppose ctrbits of all tables are identical
592fbdb79bSLingrui98  val ctrs = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W))
602fbdb79bSLingrui98}
612fbdb79bSLingrui98
62*2225d46eSJiawei Linclass TageMeta(implicit p: Parameters) extends XSBundle with HasTageParameter {
63627c0a19Szhanglinjuan  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
641e7d14a8Szhanglinjuan  val altDiffers = Bool()
651e7d14a8Szhanglinjuan  val providerU = UInt(2.W)
661e7d14a8Szhanglinjuan  val providerCtr = UInt(3.W)
67627c0a19Szhanglinjuan  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
686b98bdcbSLingrui98  val taken = Bool()
692fbdb79bSLingrui98  val scMeta = new SCMeta(EnableSC)
701e7d14a8Szhanglinjuan}
711e7d14a8Szhanglinjuan
72d471c5aeSLingrui98@chiselName
73*2225d46eSJiawei Linclass BranchPrediction(implicit p: Parameters) extends XSBundle with HasIFUConst {
74ceaf5e1fSLingrui98  // val redirect = Bool()
75ceaf5e1fSLingrui98  val takens = UInt(PredictWidth.W)
76ceaf5e1fSLingrui98  // val jmpIdx = UInt(log2Up(PredictWidth).W)
77ceaf5e1fSLingrui98  val brMask = UInt(PredictWidth.W)
78ceaf5e1fSLingrui98  val jalMask = UInt(PredictWidth.W)
79ceaf5e1fSLingrui98  val targets = Vec(PredictWidth, UInt(VAddrBits.W))
80ceaf5e1fSLingrui98
81576af497SLingrui98  // half RVI could only start at the end of a packet
82576af497SLingrui98  val hasHalfRVI = Bool()
83ceaf5e1fSLingrui98
84d42f3562SLingrui98  def brNotTakens = (~takens & brMask)
85ceaf5e1fSLingrui98
86ceaf5e1fSLingrui98  def sawNotTakenBr = VecInit((0 until PredictWidth).map(i =>
8744ff7871SLingrui98    (if (i == 0) false.B else ParallelORR(brNotTakens(i - 1, 0)))))
88fe211d16SLinJiawei
89818ec9f9SLingrui98  // if not taken before the half RVI inst
90576af497SLingrui98  def saveHalfRVI = hasHalfRVI && !(ParallelORR(takens(PredictWidth - 2, 0)))
91fe211d16SLinJiawei
92ceaf5e1fSLingrui98  // could get PredictWidth-1 when only the first bank is valid
93d42f3562SLingrui98  def jmpIdx = ParallelPriorityEncoder(takens)
94fe211d16SLinJiawei
95ceaf5e1fSLingrui98  // only used when taken
96c0c378b3SLingrui98  def target = {
97c0c378b3SLingrui98    val generator = new PriorityMuxGenerator[UInt]
98d42f3562SLingrui98    generator.register(takens.asBools, targets, List.fill(PredictWidth)(None))
99c0c378b3SLingrui98    generator()
100c0c378b3SLingrui98  }
101fe211d16SLinJiawei
102d42f3562SLingrui98  def taken = ParallelORR(takens)
103fe211d16SLinJiawei
104d42f3562SLingrui98  def takenOnBr = taken && ParallelPriorityMux(takens, brMask.asBools)
105fe211d16SLinJiawei
106d42f3562SLingrui98  def hasNotTakenBrs = Mux(taken, ParallelPriorityMux(takens, sawNotTakenBr), ParallelORR(brNotTakens))
10766b0d0c3Szhanglinjuan}
10866b0d0c3Szhanglinjuan
109*2225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle {
110097c2688SLingrui98  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
111097c2688SLingrui98  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
112097c2688SLingrui98  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
11351b2a476Szoujr}
11451b2a476Szoujr
115*2225d46eSJiawei Linclass BpuMeta(implicit p: Parameters) extends XSBundle with HasBPUParameter {
11653bf6077SLingrui98  val btbWriteWay = UInt(log2Up(BtbWays).W)
1178f6a1237SSteve Gou  val btbHit = Bool()
118e3aeae54SLingrui98  val bimCtr = UInt(2.W)
119f226232fSzhanglinjuan  val tageMeta = new TageMeta
120f634c609SLingrui98  // for global history
121f226232fSzhanglinjuan
1223a48285bSGouLingrui  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1233a48285bSGouLingrui  val debug_btb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1243a48285bSGouLingrui  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
125ec776fa0SLingrui98
1267d793c5aSzoujr  val predictor = if (BPUDebug) UInt(log2Up(4).W) else UInt(0.W) // Mark which component this prediction comes from {ubtb, btb, tage, loopPredictor}
1277d793c5aSzoujr
1288f6a1237SSteve Gou  val ubtbHit = if (BPUDebug) UInt(1.W) else UInt(0.W)
1298f6a1237SSteve Gou
13051b2a476Szoujr  val ubtbAns = new PredictorAnswer
13151b2a476Szoujr  val btbAns = new PredictorAnswer
13251b2a476Szoujr  val tageAns = new PredictorAnswer
13351b2a476Szoujr  val rasAns = new PredictorAnswer
13451b2a476Szoujr  val loopAns = new PredictorAnswer
13551b2a476Szoujr
136f634c609SLingrui98  // def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
137f634c609SLingrui98  //   this.histPtr := histPtr
138f634c609SLingrui98  //   this.tageMeta := tageMeta
139f634c609SLingrui98  //   this.rasSp := rasSp
140f634c609SLingrui98  //   this.rasTopCtr := rasTopCtr
141f634c609SLingrui98  //   this.asUInt
142f634c609SLingrui98  // }
143f226232fSzhanglinjuan  def size = 0.U.asTypeOf(this).getWidth
144fe211d16SLinJiawei
145f226232fSzhanglinjuan  def fromUInt(x: UInt) = x.asTypeOf(this)
14666b0d0c3Szhanglinjuan}
14766b0d0c3Szhanglinjuan
148*2225d46eSJiawei Linclass Predecode(implicit p: Parameters) extends XSBundle with HasIFUConst {
149ceaf5e1fSLingrui98  val hasLastHalfRVI = Bool()
1506215f044SLingrui98  val mask = UInt(PredictWidth.W)
151576af497SLingrui98  val lastHalf = Bool()
1526215f044SLingrui98  val pd = Vec(PredictWidth, (new PreDecodeInfo))
1536fb61704Szhanglinjuan}
1546fb61704Szhanglinjuan
155*2225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
156f226232fSzhanglinjuan  // from backend
15769cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
158f226232fSzhanglinjuan  // frontend -> backend -> frontend
159f226232fSzhanglinjuan  val pd = new PreDecodeInfo
1608a5e9243SLinJiawei  val rasSp = UInt(log2Up(RasSize).W)
1612e947747SLinJiawei  val rasEntry = new RASEntry
1628a5e9243SLinJiawei  val hist = new GlobalHistory
1638a5e9243SLinJiawei  val predHist = new GlobalHistory
164f6fc1a05Szoujr  val specCnt = Vec(PredictWidth, UInt(10.W))
165fe3a74fcSYinan Xu  // need pipeline update
1662e947747SLinJiawei  val sawNotTakenBranch = Bool()
1672e947747SLinJiawei  val predTaken = Bool()
168b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
1699a2e6b8aSLinJiawei  val taken = Bool()
170b2e6921eSLinJiawei  val isMisPred = Bool()
171b2e6921eSLinJiawei}
172b2e6921eSLinJiawei
1735844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
174*2225d46eSJiawei Linclass CtrlFlow(implicit p: Parameters) extends XSBundle with WaitTableParameters {
1755844fcf0SLinJiawei  val instr = UInt(32.W)
1765844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
1772b8b2e7aSWilliam Wang  val foldpc = UInt(WaitTableAddrWidth.W)
178baf8def6SYinan Xu  val exceptionVec = ExceptionVec()
1795844fcf0SLinJiawei  val intrVec = Vec(12, Bool())
180faf3cfa9SLinJiawei  val pd = new PreDecodeInfo
181cde9280dSLinJiawei  val pred_taken = Bool()
182c84054caSLinJiawei  val crossPageIPFFix = Bool()
1832b8b2e7aSWilliam Wang  val loadWaitBit = Bool() // load inst should not be executed until all former store addr calcuated
184884dbb3bSLinJiawei  val ftqPtr = new FtqPtr
185884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
1865844fcf0SLinJiawei}
1875844fcf0SLinJiawei
188*2225d46eSJiawei Linclass FtqEntry(implicit p: Parameters) extends XSBundle {
189ec778fd0SLingrui98  // fetch pc, pc of each inst could be generated by concatenation
1901670d147SLingrui98  val ftqPC = UInt(VAddrBits.W)
1911670d147SLingrui98  val lastPacketPC = ValidUndirectioned(UInt(VAddrBits.W))
192ec778fd0SLingrui98  // prediction metas
193ec778fd0SLingrui98  val hist = new GlobalHistory
194ec778fd0SLingrui98  val predHist = new GlobalHistory
195ec778fd0SLingrui98  val rasSp = UInt(log2Ceil(RasSize).W)
196ec778fd0SLingrui98  val rasTop = new RASEntry()
197744c623cSLingrui98  val specCnt = Vec(PredictWidth, UInt(10.W))
198ec778fd0SLingrui98  val metas = Vec(PredictWidth, new BpuMeta)
199ec778fd0SLingrui98
2008f6a1237SSteve Gou  val cfiIsCall, cfiIsRet, cfiIsJalr, cfiIsRVC = Bool()
201744c623cSLingrui98  val rvc_mask = Vec(PredictWidth, Bool())
202b97160feSLinJiawei  val br_mask = Vec(PredictWidth, Bool())
203b97160feSLinJiawei  val cfiIndex = ValidUndirectioned(UInt(log2Up(PredictWidth).W))
204b97160feSLinJiawei  val valids = Vec(PredictWidth, Bool())
205ec778fd0SLingrui98
206c778d2afSLinJiawei  // backend update
207c778d2afSLinJiawei  val mispred = Vec(PredictWidth, Bool())
208148ba860SLinJiawei  val target = UInt(VAddrBits.W)
209744c623cSLingrui98
2100ca50dbbSzoujr  // For perf counters
211bbfca13aSzoujr  val pd = Vec(PredictWidth, new PreDecodeInfoForDebug(!env.FPGAPlatform))
2120ca50dbbSzoujr
213744c623cSLingrui98  def takens = VecInit((0 until PredictWidth).map(i => cfiIndex.valid && cfiIndex.bits === i.U))
2141670d147SLingrui98  def hasLastPrev = lastPacketPC.valid
215fe211d16SLinJiawei
216fe211d16SLinJiawei  override def toPrintable: Printable = {
2171670d147SLingrui98    p"ftqPC: ${Hexadecimal(ftqPC)} lastPacketPC: ${Hexadecimal(lastPacketPC.bits)} hasLastPrev:$hasLastPrev " +
21848dc7634SLinJiawei      p"rasSp:$rasSp specCnt:$specCnt brmask:${Binary(Cat(br_mask))} rvcmask:${Binary(Cat(rvc_mask))} " +
21948dc7634SLinJiawei      p"valids:${Binary(valids.asUInt())} cfi valid: ${cfiIndex.valid} " +
2208f6a1237SSteve Gou      p"cfi index: ${cfiIndex.bits} isCall:$cfiIsCall isRet:$cfiIsRet isJalr:$cfiIsJalr, isRvc:$cfiIsRVC " +
22148dc7634SLinJiawei      p"mispred:${Binary(Cat(mispred))} target:${Hexadecimal(target)}\n"
222ec778fd0SLingrui98  }
223ec778fd0SLingrui98
2245844fcf0SLinJiawei}
2255844fcf0SLinJiawei
226579b9f28SLinJiawei
227*2225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
2282ce29ed6SLinJiawei  val isAddSub = Bool() // swap23
2292ce29ed6SLinJiawei  val typeTagIn = UInt(2.W)
2302ce29ed6SLinJiawei  val typeTagOut = UInt(2.W)
2312ce29ed6SLinJiawei  val fromInt = Bool()
2322ce29ed6SLinJiawei  val wflags = Bool()
2332ce29ed6SLinJiawei  val fpWen = Bool()
2342ce29ed6SLinJiawei  val fmaCmd = UInt(2.W)
2352ce29ed6SLinJiawei  val div = Bool()
2362ce29ed6SLinJiawei  val sqrt = Bool()
2372ce29ed6SLinJiawei  val fcvt = Bool()
2382ce29ed6SLinJiawei  val typ = UInt(2.W)
2392ce29ed6SLinJiawei  val fmt = UInt(2.W)
2402ce29ed6SLinJiawei  val ren3 = Bool() //TODO: remove SrcType.fp
241e6c6b64fSLinJiawei  val rm = UInt(3.W)
242579b9f28SLinJiawei}
243579b9f28SLinJiawei
2445844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
245*2225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle {
2469a2e6b8aSLinJiawei  val src1Type, src2Type, src3Type = SrcType()
2479a2e6b8aSLinJiawei  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
2489a2e6b8aSLinJiawei  val ldest = UInt(5.W)
2499a2e6b8aSLinJiawei  val fuType = FuType()
2509a2e6b8aSLinJiawei  val fuOpType = FuOpType()
2519a2e6b8aSLinJiawei  val rfWen = Bool()
2529a2e6b8aSLinJiawei  val fpWen = Bool()
2539a2e6b8aSLinJiawei  val isXSTrap = Bool()
2542d366136SLinJiawei  val noSpecExec = Bool() // wait forward
2552d366136SLinJiawei  val blockBackward = Bool() // block backward
25645a56a29SZhangZifei  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
257db34a189SLinJiawei  val isRVF = Bool()
258c2a8ae00SYikeZhou  val selImm = SelImm()
259b0ae3ac4SLinJiawei  val imm = UInt(ImmUnion.maxLen.W)
260a3edac52SYinan Xu  val commitType = CommitType()
261579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
262aac4464eSYinan Xu  val isMove = Bool()
263be25371aSYikeZhou
264be25371aSYikeZhou  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]) = {
265be25371aSYikeZhou    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
266be25371aSYikeZhou    val signals =
2674d24c305SYikeZhou      Seq(src1Type, src2Type, src3Type, fuType, fuOpType, rfWen, fpWen,
268c2a8ae00SYikeZhou        isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
269be25371aSYikeZhou    signals zip decoder map { case (s, d) => s := d }
2704d24c305SYikeZhou    commitType := DontCare
271be25371aSYikeZhou    this
272be25371aSYikeZhou  }
2735844fcf0SLinJiawei}
2745844fcf0SLinJiawei
275*2225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle {
2765844fcf0SLinJiawei  val cf = new CtrlFlow
2775844fcf0SLinJiawei  val ctrl = new CtrlSignals
2785844fcf0SLinJiawei}
2795844fcf0SLinJiawei
280*2225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle {
281aac4464eSYinan Xu  val src1MoveElim = Bool()
282aac4464eSYinan Xu  val src2MoveElim = Bool()
283ba4100caSYinan Xu  // val fetchTime = UInt(64.W)
284ba4100caSYinan Xu  val renameTime = UInt(64.W)
2857cef916fSYinan Xu  val dispatchTime = UInt(64.W)
286ba4100caSYinan Xu  val issueTime = UInt(64.W)
287ba4100caSYinan Xu  val writebackTime = UInt(64.W)
2887cef916fSYinan Xu  // val commitTime = UInt(64.W)
289ba4100caSYinan Xu}
290ba4100caSYinan Xu
29148d1472eSWilliam Wang// Separate LSQ
292*2225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle {
293915c0dd4SYinan Xu  val lqIdx = new LqPtr
2945c1ae31bSYinan Xu  val sqIdx = new SqPtr
29524726fbfSWilliam Wang}
29624726fbfSWilliam Wang
297b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
298*2225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl {
2999a2e6b8aSLinJiawei  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
3009a2e6b8aSLinJiawei  val src1State, src2State, src3State = SrcState()
30142707b3bSYinan Xu  val roqIdx = new RoqPtr
302fe6452fcSYinan Xu  val lqIdx = new LqPtr
303fe6452fcSYinan Xu  val sqIdx = new SqPtr
304355fcd20SAllen  val diffTestDebugLrScValid = Bool()
3057cef916fSYinan Xu  val debugInfo = new PerfDebugInfo
3065844fcf0SLinJiawei}
3075844fcf0SLinJiawei
308*2225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle {
30942707b3bSYinan Xu  val roqIdx = new RoqPtr
31036d7aed5SLinJiawei  val ftqIdx = new FtqPtr
31136d7aed5SLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
312bfb958a3SYinan Xu  val level = RedirectLevel()
313bfb958a3SYinan Xu  val interrupt = Bool()
314c778d2afSLinJiawei  val cfiUpdate = new CfiUpdateInfo
315bfb958a3SYinan Xu
316fe211d16SLinJiawei
3172d7c7105SYinan Xu  // def isUnconditional() = RedirectLevel.isUnconditional(level)
318bfb958a3SYinan Xu  def flushItself() = RedirectLevel.flushItself(level)
3192d7c7105SYinan Xu  // def isException() = RedirectLevel.isException(level)
320a25b1bceSLinJiawei}
321a25b1bceSLinJiawei
322*2225d46eSJiawei Linclass Dp1ToDp2IO(implicit p: Parameters) extends XSBundle {
3235c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
3245c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
3255c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
3265844fcf0SLinJiawei}
3275844fcf0SLinJiawei
328*2225d46eSJiawei Linclass ReplayPregReq(implicit p: Parameters) extends XSBundle {
32960deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
33060deaca2SLinJiawei  val isInt = Bool()
33160deaca2SLinJiawei  val isFp = Bool()
33260deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
3335844fcf0SLinJiawei}
3345844fcf0SLinJiawei
335*2225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle {
33672235fa4SWilliam Wang  val isMMIO = Bool()
3378635f18fSwangkaifan  val isPerfCnt = Bool()
3388b91a337SWilliam Wang  val paddr = UInt(PAddrBits.W)
339e402d94eSWilliam Wang}
3405844fcf0SLinJiawei
341*2225d46eSJiawei Linclass ExuInput(implicit p: Parameters) extends XSBundle {
3425844fcf0SLinJiawei  val uop = new MicroOp
3439684eb4fSLinJiawei  val src1, src2, src3 = UInt((XLEN + 1).W)
3445844fcf0SLinJiawei}
3455844fcf0SLinJiawei
346*2225d46eSJiawei Linclass ExuOutput(implicit p: Parameters) extends XSBundle {
3475844fcf0SLinJiawei  val uop = new MicroOp
3489684eb4fSLinJiawei  val data = UInt((XLEN + 1).W)
3497f1506e3SLinJiawei  val fflags = UInt(5.W)
35097cfa7f8SLinJiawei  val redirectValid = Bool()
35197cfa7f8SLinJiawei  val redirect = new Redirect
352e402d94eSWilliam Wang  val debug = new DebugBundle
3535844fcf0SLinJiawei}
3545844fcf0SLinJiawei
355*2225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
35635bfeecbSYinan Xu  val mtip = Input(Bool())
35735bfeecbSYinan Xu  val msip = Input(Bool())
35835bfeecbSYinan Xu  val meip = Input(Bool())
3595844fcf0SLinJiawei}
3605844fcf0SLinJiawei
361*2225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle {
36235bfeecbSYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
3633fa7b737SYinan Xu  val isInterrupt = Input(Bool())
36435bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
36535bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
36635bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
36735bfeecbSYinan Xu  val interrupt = Output(Bool())
36835bfeecbSYinan Xu}
36935bfeecbSYinan Xu
370*2225d46eSJiawei Linclass ExceptionInfo(implicit p: Parameters) extends XSBundle {
3713a474d38SYinan Xu  val uop = new MicroOp
3723a474d38SYinan Xu  val isInterrupt = Bool()
3733a474d38SYinan Xu}
3743a474d38SYinan Xu
375*2225d46eSJiawei Linclass RoqCommitInfo(implicit p: Parameters) extends XSBundle {
376fe6452fcSYinan Xu  val ldest = UInt(5.W)
377fe6452fcSYinan Xu  val rfWen = Bool()
378fe6452fcSYinan Xu  val fpWen = Bool()
379a1fd7de4SLinJiawei  val wflags = Bool()
380fe6452fcSYinan Xu  val commitType = CommitType()
381fe6452fcSYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
382fe6452fcSYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
383884dbb3bSLinJiawei  val ftqIdx = new FtqPtr
384884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
3855844fcf0SLinJiawei
3869ecac1e8SYinan Xu  // these should be optimized for synthesis verilog
3879ecac1e8SYinan Xu  val pc = UInt(VAddrBits.W)
388fe6452fcSYinan Xu}
3895844fcf0SLinJiawei
390*2225d46eSJiawei Linclass RoqCommitIO(implicit p: Parameters) extends XSBundle {
39121e7a6c5SYinan Xu  val isWalk = Output(Bool())
39221e7a6c5SYinan Xu  val valid = Vec(CommitWidth, Output(Bool()))
393fe6452fcSYinan Xu  val info = Vec(CommitWidth, Output(new RoqCommitInfo))
39421e7a6c5SYinan Xu
39521e7a6c5SYinan Xu  def hasWalkInstr = isWalk && valid.asUInt.orR
396fe211d16SLinJiawei
39721e7a6c5SYinan Xu  def hasCommitInstr = !isWalk && valid.asUInt.orR
3985844fcf0SLinJiawei}
3995844fcf0SLinJiawei
400*2225d46eSJiawei Linclass TlbFeedback(implicit p: Parameters) extends XSBundle {
40164e8d8bdSZhangZifei  val rsIdx = UInt(log2Up(IssQueSize).W)
402037a131fSWilliam Wang  val hit = Bool()
40362f57a35SLemover  val flushState = Bool()
404037a131fSWilliam Wang}
405037a131fSWilliam Wang
406*2225d46eSJiawei Linclass RSFeedback(implicit p: Parameters) extends TlbFeedback
407e70e66e8SZhangZifei
408*2225d46eSJiawei Linclass FrontendToBackendIO(implicit p: Parameters) extends XSBundle {
4095844fcf0SLinJiawei  // to backend end
4105844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
4118a5e9243SLinJiawei  val fetchInfo = DecoupledIO(new FtqEntry)
4125844fcf0SLinJiawei  // from backend
413c778d2afSLinJiawei  val redirect_cfiUpdate = Flipped(ValidIO(new Redirect))
414c778d2afSLinJiawei  val commit_cfiUpdate = Flipped(ValidIO(new FtqEntry))
415fc4776e4SLinJiawei  val ftqEnqPtr = Input(new FtqPtr)
416fc4776e4SLinJiawei  val ftqLeftOne = Input(Bool())
4171e3fad10SLinJiawei}
418fcff7e94SZhangZifei
419*2225d46eSJiawei Linclass TlbCsrBundle(implicit p: Parameters) extends XSBundle {
420fcff7e94SZhangZifei  val satp = new Bundle {
421fcff7e94SZhangZifei    val mode = UInt(4.W) // TODO: may change number to parameter
422fcff7e94SZhangZifei    val asid = UInt(16.W)
423fcff7e94SZhangZifei    val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
424fcff7e94SZhangZifei  }
425fcff7e94SZhangZifei  val priv = new Bundle {
426fcff7e94SZhangZifei    val mxr = Bool()
427fcff7e94SZhangZifei    val sum = Bool()
428fcff7e94SZhangZifei    val imode = UInt(2.W)
429fcff7e94SZhangZifei    val dmode = UInt(2.W)
430fcff7e94SZhangZifei  }
4318fc4e859SZhangZifei
4328fc4e859SZhangZifei  override def toPrintable: Printable = {
4338fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
4348fc4e859SZhangZifei      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
4358fc4e859SZhangZifei  }
436fcff7e94SZhangZifei}
437fcff7e94SZhangZifei
438*2225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle {
439fcff7e94SZhangZifei  val valid = Bool()
440fcff7e94SZhangZifei  val bits = new Bundle {
441fcff7e94SZhangZifei    val rs1 = Bool()
442fcff7e94SZhangZifei    val rs2 = Bool()
443fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
444fcff7e94SZhangZifei  }
4458fc4e859SZhangZifei
4468fc4e859SZhangZifei  override def toPrintable: Printable = {
4478fc4e859SZhangZifei    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
4488fc4e859SZhangZifei  }
449fcff7e94SZhangZifei}
450a165bd69Swangkaifan
451*2225d46eSJiawei Linclass WaitTableUpdateReq(implicit p: Parameters) extends XSBundle with WaitTableParameters {
4522b8b2e7aSWilliam Wang  val valid = Bool()
4532b8b2e7aSWilliam Wang  val waddr = UInt(WaitTableAddrWidth.W)
4542b8b2e7aSWilliam Wang  val wdata = Bool() // true.B by default
4552b8b2e7aSWilliam Wang}
4562b8b2e7aSWilliam Wang
457*2225d46eSJiawei Linclass PerfInfoIO extends Bundle {
458b31c62abSwangkaifan  val clean = Input(Bool())
459b31c62abSwangkaifan  val dump = Input(Bool())
460b31c62abSwangkaifan}
4612b8b2e7aSWilliam Wang
462*2225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
4632b8b2e7aSWilliam Wang  // Prefetcher
4642b8b2e7aSWilliam Wang  val l1plus_pf_enable = Output(Bool())
4652b8b2e7aSWilliam Wang  val l2_pf_enable = Output(Bool())
466f3f22d72SYinan Xu  // Labeled XiangShan
4672b8b2e7aSWilliam Wang  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
468f3f22d72SYinan Xu  // Load violation predictor
4692b8b2e7aSWilliam Wang  val lvpred_disable = Output(Bool())
4702b8b2e7aSWilliam Wang  val no_spec_load = Output(Bool())
4712b8b2e7aSWilliam Wang  val waittable_timeout = Output(UInt(5.W))
472f3f22d72SYinan Xu  // Branch predictor
4732b8b2e7aSWilliam Wang  val bp_ctrl = Output(new BPUCtrl)
474f3f22d72SYinan Xu  // Memory Block
475f3f22d72SYinan Xu  val sbuffer_threshold = Output(UInt(4.W))
476aac4464eSYinan Xu  // Rename
477aac4464eSYinan Xu  val move_elim_enable = Output(Bool())
4782b8b2e7aSWilliam Wang}
479