xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 1e7d14a8473b44f2d12d3b03452c03cee05a1921)
11e3fad10SLinJiaweipackage xiangshan
21e3fad10SLinJiawei
31e3fad10SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
5e402d94eSWilliam Wangimport bus.simplebus._
6*1e7d14a8Szhanglinjuanimport xiangshan.frontend.HasTageParameter
7bfa4b2b4SLinJiaweiimport xiangshan.backend.brq.BrqPtr
80851457fSLinJiaweiimport xiangshan.backend.rename.FreeListPtr
91e3fad10SLinJiawei
105844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
111e3fad10SLinJiaweiclass FetchPacket extends XSBundle {
121e3fad10SLinJiawei  val instrs = Vec(FetchWidth, UInt(32.W))
13e4698824Szoujr  val mask = UInt((FetchWidth*2).W)
141e3fad10SLinJiawei  val pc = UInt(VAddrBits.W) // the pc of first inst in the fetch group
15fda42022Szhanglinjuan  val pnpc = Vec(FetchWidth, UInt(VAddrBits.W))
161e3fad10SLinJiawei}
171e3fad10SLinJiawei
18*1e7d14a8Szhanglinjuanclass TageMeta extends XSBundle {
19*1e7d14a8Szhanglinjuan  val provider = Valid(UInt(log2Ceil(TageNTables).W))
20*1e7d14a8Szhanglinjuan  val altDiffers = Bool()
21*1e7d14a8Szhanglinjuan  val providerU = UInt(2.W)
22*1e7d14a8Szhanglinjuan  val providerCtr = UInt(3.W)
23*1e7d14a8Szhanglinjuan  val allocate = Valid(UInt(log2Ceil(TageNTables).W))
24*1e7d14a8Szhanglinjuan}
25*1e7d14a8Szhanglinjuan
26e983e862Szhanglinjuan// Branch prediction result from BPU Stage1 & 3
276fb61704Szhanglinjuanclass BranchPrediction extends XSBundle {
28e983e862Szhanglinjuan  val redirect = Bool()
29e983e862Szhanglinjuan
306fb61704Szhanglinjuan  // mask off all the instrs after the first redirect instr
316fb61704Szhanglinjuan  val instrValid = Vec(FetchWidth, Bool())
32dff546ecSzhanglinjuan  // target of the first redirect instr in a fetch package
336fb61704Szhanglinjuan  val target = UInt(VAddrBits.W)
34dff546ecSzhanglinjuan  // val _type = UInt(2.W)
35e983e862Szhanglinjuan
36e983e862Szhanglinjuan  // save these info in brq!
37e983e862Szhanglinjuan  // global history of each valid(or uncancelled) instruction, excluding branch's own prediction result
38140dcc2eSzhanglinjuan  val hist = Vec(FetchWidth, UInt(HistoryLength.W))
39*1e7d14a8Szhanglinjuan  // tage meta info
40*1e7d14a8Szhanglinjuan  val tageMeta = Vec(FetchWidth, (new TageMeta))
41e983e862Szhanglinjuan  // ras checkpoint, only used in Stage3
42dff546ecSzhanglinjuan  val rasSp = UInt(log2Up(RasSize).W)
43dff546ecSzhanglinjuan  val rasTopCtr = UInt(8.W)
446fb61704Szhanglinjuan}
456fb61704Szhanglinjuan
466fb61704Szhanglinjuan// Save predecode info in icache
476fb61704Szhanglinjuanclass Predecode extends XSBundle {
4894947342Szhanglinjuan  val mask = UInt(FetchWidth.W)
496fb61704Szhanglinjuan  val fuTypes = Vec(FetchWidth, FuType())
506fb61704Szhanglinjuan  val fuOpTypes = Vec(FetchWidth, FuOpType())
516fb61704Szhanglinjuan}
526fb61704Szhanglinjuan
535844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
545844fcf0SLinJiaweiclass CtrlFlow extends XSBundle {
555844fcf0SLinJiawei  val instr = UInt(32.W)
565844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
57fda42022Szhanglinjuan  val pnpc = UInt(VAddrBits.W)
585844fcf0SLinJiawei  val exceptionVec = Vec(16, Bool())
595844fcf0SLinJiawei  val intrVec = Vec(12, Bool())
609a2e6b8aSLinJiawei  val isRVC = Bool()
619a2e6b8aSLinJiawei  val isBr = Bool()
625844fcf0SLinJiawei}
635844fcf0SLinJiawei
645844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
655844fcf0SLinJiaweiclass CtrlSignals extends XSBundle {
669a2e6b8aSLinJiawei  val src1Type, src2Type, src3Type = SrcType()
679a2e6b8aSLinJiawei  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
689a2e6b8aSLinJiawei  val ldest = UInt(5.W)
699a2e6b8aSLinJiawei  val fuType = FuType()
709a2e6b8aSLinJiawei  val fuOpType = FuOpType()
719a2e6b8aSLinJiawei  val rfWen = Bool()
729a2e6b8aSLinJiawei  val fpWen = Bool()
739a2e6b8aSLinJiawei  val isXSTrap = Bool()
749a2e6b8aSLinJiawei  val noSpecExec = Bool()  // This inst can not be speculated
759a2e6b8aSLinJiawei  val isBlocked  = Bool()  // This inst requires pipeline to be blocked
76db34a189SLinJiawei  val isRVF = Bool()
77db34a189SLinJiawei  val imm = UInt(XLEN.W)
785844fcf0SLinJiawei}
795844fcf0SLinJiawei
805844fcf0SLinJiaweiclass CfCtrl extends XSBundle {
815844fcf0SLinJiawei  val cf = new CtrlFlow
825844fcf0SLinJiawei  val ctrl = new CtrlSignals
83bfa4b2b4SLinJiawei  val brTag = new BrqPtr
845844fcf0SLinJiawei}
855844fcf0SLinJiawei
865844fcf0SLinJiawei// CfCtrl -> MicroOp at Rename Stage
875844fcf0SLinJiaweiclass MicroOp extends CfCtrl {
885844fcf0SLinJiawei
899a2e6b8aSLinJiawei  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
909a2e6b8aSLinJiawei  val src1State, src2State, src3State = SrcState()
910851457fSLinJiawei  val freelistAllocPtr = new FreeListPtr
925844fcf0SLinJiawei  val roqIdx = UInt(RoqIdxWidth.W)
935844fcf0SLinJiawei}
945844fcf0SLinJiawei
951e3fad10SLinJiaweiclass Redirect extends XSBundle {
96fda42022Szhanglinjuan  val pc = UInt(VAddrBits.W) // wrongly predicted pc
971e3fad10SLinJiawei  val target = UInt(VAddrBits.W)
9843c072e7Szhanglinjuan  val brTarget = UInt(VAddrBits.W)
99bfa4b2b4SLinJiawei  val brTag = new BrqPtr
100fda42022Szhanglinjuan  val _type = UInt(2.W)
1016fb61704Szhanglinjuan  val isCall = Bool()
102fda42022Szhanglinjuan  val taken = Bool()
1036fb61704Szhanglinjuan  val hist = UInt(HistoryLength.W)
104*1e7d14a8Szhanglinjuan  val tageMeta = new TageMeta
105cf1c5078Szhanglinjuan  val rasSp = UInt(log2Up(RasSize).W)
106cf1c5078Szhanglinjuan  val rasTopCtr = UInt(8.W)
10737fcf7fbSLinJiawei  val isException = Bool()
108ab7d3e5fSWilliam Wang  val roqIdx = UInt(RoqIdxWidth.W)
1090851457fSLinJiawei  val freelistAllocPtr = new FreeListPtr
1105844fcf0SLinJiawei}
1115844fcf0SLinJiawei
112a25b1bceSLinJiaweiclass RedirectInfo extends XSBundle {
113a25b1bceSLinJiawei
114a25b1bceSLinJiawei  val valid = Bool() // a valid commit form brq/roq
115a25b1bceSLinJiawei  val misPred = Bool() // a branch miss prediction ?
116a25b1bceSLinJiawei  val redirect = new Redirect
117a25b1bceSLinJiawei
118a25b1bceSLinJiawei  def flush():Bool = valid && (redirect.isException || misPred)
119a25b1bceSLinJiawei}
120a25b1bceSLinJiawei
1215844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle {
1225844fcf0SLinJiawei  val intDqToDp2 = Vec(IntDqDeqWidth, DecoupledIO(new MicroOp))
1235844fcf0SLinJiawei  val fpDqToDp2 = Vec(FpDqDeqWidth, DecoupledIO(new MicroOp))
1245844fcf0SLinJiawei  val lsDqToDp2 = Vec(LsDqDeqWidth, DecoupledIO(new MicroOp))
1255844fcf0SLinJiawei}
1265844fcf0SLinJiawei
127e402d94eSWilliam Wangclass DebugBundle extends XSBundle{
12872235fa4SWilliam Wang  val isMMIO = Bool()
129e402d94eSWilliam Wang}
1305844fcf0SLinJiawei
1315844fcf0SLinJiaweiclass ExuInput extends XSBundle {
1325844fcf0SLinJiawei  val uop = new MicroOp
1335844fcf0SLinJiawei  val src1, src2, src3 = UInt(XLEN.W)
1345844fcf0SLinJiawei}
1355844fcf0SLinJiawei
1365844fcf0SLinJiaweiclass ExuOutput extends XSBundle {
1375844fcf0SLinJiawei  val uop = new MicroOp
1385844fcf0SLinJiawei  val data = UInt(XLEN.W)
13997cfa7f8SLinJiawei  val redirectValid = Bool()
14097cfa7f8SLinJiawei  val redirect = new Redirect
141e402d94eSWilliam Wang  val debug = new DebugBundle
1425844fcf0SLinJiawei}
1435844fcf0SLinJiawei
1445844fcf0SLinJiaweiclass ExuIO extends XSBundle {
1455844fcf0SLinJiawei  val in = Flipped(DecoupledIO(new ExuInput))
146c3174e61SZhangZifei  val redirect = Flipped(ValidIO(new Redirect))
1475844fcf0SLinJiawei  val out = DecoupledIO(new ExuOutput)
148e402d94eSWilliam Wang
149e402d94eSWilliam Wang  // for Lsu
150e402d94eSWilliam Wang  val dmem = new SimpleBusUC
1514e1a70f6SWilliam Wang  val scommit = Input(UInt(3.W))
1525844fcf0SLinJiawei}
1535844fcf0SLinJiawei
1545844fcf0SLinJiaweiclass RoqCommit extends XSBundle {
1555844fcf0SLinJiawei  val uop = new MicroOp
156296e7422SLinJiawei  val isWalk = Bool()
1575844fcf0SLinJiawei}
1585844fcf0SLinJiawei
1595844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle {
1605844fcf0SLinJiawei  // to backend end
1615844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
1625844fcf0SLinJiawei  // from backend
163a25b1bceSLinJiawei  val redirectInfo = Input(new RedirectInfo)
1645844fcf0SLinJiawei  val commits = Vec(CommitWidth, Flipped(ValidIO(new RoqCommit))) // update branch pred
1651e3fad10SLinJiawei}
166