xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 1a0debc27041058fb54ba12d616d87f838663e7c)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
171e3fad10SLinJiaweipackage xiangshan
181e3fad10SLinJiawei
191e3fad10SLinJiaweiimport chisel3._
205844fcf0SLinJiaweiimport chisel3.util._
219aca92b9SYinan Xuimport xiangshan.backend.rob.RobPtr
22f06ca0bfSLingrui98import xiangshan.backend.CtrlToFtqIO
23de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode}
245c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
2566b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
26f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
27bcce877bSYinan Xuimport xiangshan.frontend.{AllFoldedHistories, CircularGlobalHistory, GlobalHistory, ShiftingGlobalHistory}
287447ee13SLingrui98import xiangshan.frontend.RASEntry
292b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl
30e0d9a9f0SLingrui98import xiangshan.frontend.FtqPtr
31c2ad24ebSLingrui98import xiangshan.frontend.CGHPtr
32e0d9a9f0SLingrui98import xiangshan.frontend.FtqRead
33f06ca0bfSLingrui98import xiangshan.frontend.FtqToCtrlIO
34ceaf5e1fSLingrui98import utils._
353c02ee8fSwakafaimport utility._
36b0ae3ac4SLinJiawei
372fbdb79bSLingrui98import scala.math.max
38d471c5aeSLingrui98import Chisel.experimental.chiselName
392225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
4088825c5cSYinan Xuimport chisel3.util.BitPat.bitPatToUInt
41bcce877bSYinan Xuimport xiangshan.backend.exu.ExuConfig
42b6982e83SLemoverimport xiangshan.backend.fu.PMPEntry
4314a6653fSLingrui98import xiangshan.frontend.Ftq_Redirect_SRAMEntry
44dd6c0695SLingrui98import xiangshan.frontend.AllFoldedHistories
4567402d75SLingrui98import xiangshan.frontend.AllAheadFoldedHistoryOldestBits
461e3fad10SLinJiawei
47627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
483803411bSzhanglinjuan  val valid = Bool()
4935fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
50fe211d16SLinJiawei
513803411bSzhanglinjuan}
523803411bSzhanglinjuan
53627c0a19Szhanglinjuanobject ValidUndirectioned {
54627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
55627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
563803411bSzhanglinjuan  }
573803411bSzhanglinjuan}
583803411bSzhanglinjuan
591b7adedcSWilliam Wangobject RSFeedbackType {
6067682d05SWilliam Wang  val tlbMiss = 0.U(3.W)
6167682d05SWilliam Wang  val mshrFull = 1.U(3.W)
6267682d05SWilliam Wang  val dataInvalid = 2.U(3.W)
6367682d05SWilliam Wang  val bankConflict = 3.U(3.W)
6467682d05SWilliam Wang  val ldVioCheckRedo = 4.U(3.W)
651b7adedcSWilliam Wang
66eb163ef0SHaojin Tang  val feedbackInvalid = 7.U(3.W)
67eb163ef0SHaojin Tang
6867682d05SWilliam Wang  def apply() = UInt(3.W)
691b7adedcSWilliam Wang}
701b7adedcSWilliam Wang
712225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle {
72097c2688SLingrui98  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
73097c2688SLingrui98  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
74097c2688SLingrui98  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
7551b2a476Szoujr}
7651b2a476Szoujr
772225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
78f226232fSzhanglinjuan  // from backend
7969cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
80f226232fSzhanglinjuan  // frontend -> backend -> frontend
81f226232fSzhanglinjuan  val pd = new PreDecodeInfo
828a5e9243SLinJiawei  val rasSp = UInt(log2Up(RasSize).W)
832e947747SLinJiawei  val rasEntry = new RASEntry
84c2ad24ebSLingrui98  // val hist = new ShiftingGlobalHistory
85dd6c0695SLingrui98  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
8667402d75SLingrui98  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
8767402d75SLingrui98  val lastBrNumOH = UInt((numBr+1).W)
88b37e4b45SLingrui98  val ghr = UInt(UbtbGHRLength.W)
89c2ad24ebSLingrui98  val histPtr = new CGHPtr
90e7b046c5Szoujr  val specCnt = Vec(numBr, UInt(10.W))
91fe3a74fcSYinan Xu  // need pipeline update
928a597714Szoujr  val br_hit = Bool()
932e947747SLinJiawei  val predTaken = Bool()
94b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
959a2e6b8aSLinJiawei  val taken = Bool()
96b2e6921eSLinJiawei  val isMisPred = Bool()
97d0527adfSzoujr  val shift = UInt((log2Ceil(numBr)+1).W)
98d0527adfSzoujr  val addIntoHist = Bool()
9914a6653fSLingrui98
10014a6653fSLingrui98  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
101c2ad24ebSLingrui98    // this.hist := entry.ghist
102dd6c0695SLingrui98    this.folded_hist := entry.folded_hist
10367402d75SLingrui98    this.lastBrNumOH := entry.lastBrNumOH
10467402d75SLingrui98    this.afhob := entry.afhob
105c2ad24ebSLingrui98    this.histPtr := entry.histPtr
10614a6653fSLingrui98    this.rasSp := entry.rasSp
107c2d1ec7dSLingrui98    this.rasEntry := entry.rasTop
10814a6653fSLingrui98    this
10914a6653fSLingrui98  }
110b2e6921eSLinJiawei}
111b2e6921eSLinJiawei
1125844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
113de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle {
1145844fcf0SLinJiawei  val instr = UInt(32.W)
1155844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
116de169c67SWilliam Wang  val foldpc = UInt(MemPredPCWidth.W)
117baf8def6SYinan Xu  val exceptionVec = ExceptionVec()
11872951335SLi Qianruo  val trigger = new TriggerCf
119faf3cfa9SLinJiawei  val pd = new PreDecodeInfo
120cde9280dSLinJiawei  val pred_taken = Bool()
121c84054caSLinJiawei  val crossPageIPFFix = Bool()
122de169c67SWilliam Wang  val storeSetHit = Bool() // inst has been allocated an store set
123980c1bc3SWilliam Wang  val waitForRobIdx = new RobPtr // store set predicted previous store robIdx
124d1fe0262SWilliam Wang  // Load wait is needed
125d1fe0262SWilliam Wang  // load inst will not be executed until former store (predicted by mdp) addr calcuated
126d1fe0262SWilliam Wang  val loadWaitBit = Bool()
127d1fe0262SWilliam Wang  // If (loadWaitBit && loadWaitStrict), strict load wait is needed
128d1fe0262SWilliam Wang  // load inst will not be executed until ALL former store addr calcuated
129d1fe0262SWilliam Wang  val loadWaitStrict = Bool()
130de169c67SWilliam Wang  val ssid = UInt(SSIDWidth.W)
131884dbb3bSLinJiawei  val ftqPtr = new FtqPtr
132884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
1335844fcf0SLinJiawei}
1345844fcf0SLinJiawei
13572951335SLi Qianruo
1362225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
1372ce29ed6SLinJiawei  val isAddSub = Bool() // swap23
138dc597826SJiawei Lin  val typeTagIn = UInt(1.W)
139dc597826SJiawei Lin  val typeTagOut = UInt(1.W)
1402ce29ed6SLinJiawei  val fromInt = Bool()
1412ce29ed6SLinJiawei  val wflags = Bool()
1422ce29ed6SLinJiawei  val fpWen = Bool()
1432ce29ed6SLinJiawei  val fmaCmd = UInt(2.W)
1442ce29ed6SLinJiawei  val div = Bool()
1452ce29ed6SLinJiawei  val sqrt = Bool()
1462ce29ed6SLinJiawei  val fcvt = Bool()
1472ce29ed6SLinJiawei  val typ = UInt(2.W)
1482ce29ed6SLinJiawei  val fmt = UInt(2.W)
1492ce29ed6SLinJiawei  val ren3 = Bool() //TODO: remove SrcType.fp
150e6c6b64fSLinJiawei  val rm = UInt(3.W)
151579b9f28SLinJiawei}
152579b9f28SLinJiawei
1538a264e15Smaliaoclass VType(implicit p: Parameters) extends XSBundle {
1548a264e15Smaliao  val vma   = Bool()
1558a264e15Smaliao  val vta   = Bool()
1568a264e15Smaliao  val vsew = UInt(3.W)
1578a264e15Smaliao  val vlmul = UInt(3.W)
1588a264e15Smaliao}
1598a264e15Smaliao
1608a264e15Smaliaoclass VConfig(implicit p: Parameters) extends XSBundle {
1618a264e15Smaliao  val vl    = UInt(8.W)
1628a264e15Smaliao  val vtype = new VType
1638a264e15Smaliao}
1648a264e15Smaliao
1655844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1662225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle {
1678744445eSMaxpicca-Li  val debug_globalID = UInt(XLEN.W)
168a7a8a6ccSHaojin Tang  val srcType = Vec(4, SrcType())
169a7a8a6ccSHaojin Tang  val lsrc = Vec(4, UInt(6.W))
170a7a8a6ccSHaojin Tang  val ldest = UInt(6.W)
1719a2e6b8aSLinJiawei  val fuType = FuType()
1729a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1739a2e6b8aSLinJiawei  val rfWen = Bool()
1749a2e6b8aSLinJiawei  val fpWen = Bool()
175deb6421eSHaojin Tang  val vecWen = Bool()
1760f038924SZhangZifei  def fpVecWen = fpWen || vecWen
1779a2e6b8aSLinJiawei  val isXSTrap = Bool()
1782d366136SLinJiawei  val noSpecExec = Bool() // wait forward
1792d366136SLinJiawei  val blockBackward = Bool() // block backward
18045a56a29SZhangZifei  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
181acbea6c4SzhanglyGit  val uopDivType = UopDivType()
182c2a8ae00SYikeZhou  val selImm = SelImm()
183b0ae3ac4SLinJiawei  val imm = UInt(ImmUnion.maxLen.W)
184a3edac52SYinan Xu  val commitType = CommitType()
185579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
1864aa9ed34Sfdy  val uopIdx = UInt(5.W)
1878a264e15Smaliao  val vconfig = new VConfig
188aac4464eSYinan Xu  val isMove = Bool()
189*1a0debc2Sczw  val vm = Bool()
190d4aca96cSlqre  val singleStep = Bool()
191c88c3a2aSYinan Xu  // This inst will flush all the pipe when it is the oldest inst in ROB,
192c88c3a2aSYinan Xu  // then replay from this inst itself
193c88c3a2aSYinan Xu  val replayInst = Bool()
194be25371aSYikeZhou
19557a10886SXuan Hu  private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen,
196acbea6c4SzhanglyGit    isXSTrap, noSpecExec, blockBackward, flushPipe, uopDivType, selImm)
19788825c5cSYinan Xu
19888825c5cSYinan Xu  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = {
19957a10886SXuan Hu    val decoder: Seq[UInt] = ListLookup(
20057a10886SXuan Hu      inst, XDecode.decodeDefault.map(bitPatToUInt),
20157a10886SXuan Hu      table.map{ case (pat, pats) => (pat, pats.map(bitPatToUInt)) }.toArray
20257a10886SXuan Hu    )
20388825c5cSYinan Xu    allSignals zip decoder foreach { case (s, d) => s := d }
2044d24c305SYikeZhou    commitType := DontCare
205be25371aSYikeZhou    this
206be25371aSYikeZhou  }
20788825c5cSYinan Xu
20888825c5cSYinan Xu  def decode(bit: List[BitPat]): CtrlSignals = {
20988825c5cSYinan Xu    allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d }
21088825c5cSYinan Xu    this
21188825c5cSYinan Xu  }
212b6900d94SYinan Xu
213b6900d94SYinan Xu  def isWFI: Bool = fuType === FuType.csr && fuOpType === CSROpType.wfi
214f025d715SYinan Xu  def isSoftPrefetch: Bool = {
215f025d715SYinan Xu    fuType === FuType.alu && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U
216f025d715SYinan Xu  }
2175844fcf0SLinJiawei}
2185844fcf0SLinJiawei
2192225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle {
2205844fcf0SLinJiawei  val cf = new CtrlFlow
2215844fcf0SLinJiawei  val ctrl = new CtrlSignals
2225844fcf0SLinJiawei}
2235844fcf0SLinJiawei
2242225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle {
2258b8e745dSYikeZhou  val eliminatedMove = Bool()
2268744445eSMaxpicca-Li  // val fetchTime = UInt(XLEN.W)
227ebb8ebf8SYinan Xu  val renameTime = UInt(XLEN.W)
228ebb8ebf8SYinan Xu  val dispatchTime = UInt(XLEN.W)
229ebb8ebf8SYinan Xu  val enqRsTime = UInt(XLEN.W)
230ebb8ebf8SYinan Xu  val selectTime = UInt(XLEN.W)
231ebb8ebf8SYinan Xu  val issueTime = UInt(XLEN.W)
232ebb8ebf8SYinan Xu  val writebackTime = UInt(XLEN.W)
2338744445eSMaxpicca-Li  // val commitTime = UInt(XLEN.W)
2348744445eSMaxpicca-Li  val runahead_checkpoint_id = UInt(XLEN.W)
2358744445eSMaxpicca-Li  val tlbFirstReqTime = UInt(XLEN.W)
2368744445eSMaxpicca-Li  val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit)
237ba4100caSYinan Xu}
238ba4100caSYinan Xu
23948d1472eSWilliam Wang// Separate LSQ
2402225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle {
241915c0dd4SYinan Xu  val lqIdx = new LqPtr
2425c1ae31bSYinan Xu  val sqIdx = new SqPtr
24324726fbfSWilliam Wang}
24424726fbfSWilliam Wang
245b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
2462225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl {
247a7a8a6ccSHaojin Tang  val srcState = Vec(4, SrcState())
248a7a8a6ccSHaojin Tang  val psrc = Vec(4, UInt(PhyRegIdxWidth.W))
24920e31bd1SYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
25020e31bd1SYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
2519aca92b9SYinan Xu  val robIdx = new RobPtr
252fe6452fcSYinan Xu  val lqIdx = new LqPtr
253fe6452fcSYinan Xu  val sqIdx = new SqPtr
2548b8e745dSYikeZhou  val eliminatedMove = Bool()
2557cef916fSYinan Xu  val debugInfo = new PerfDebugInfo
2569d4e1137SYinan Xu  def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = {
257bcce877bSYinan Xu    val stateReady = srcState(index) === SrcState.rdy || ignoreState.B
258bcce877bSYinan Xu    val readReg = if (isFp) {
259bcce877bSYinan Xu      ctrl.srcType(index) === SrcType.fp
260bcce877bSYinan Xu    } else {
261bcce877bSYinan Xu      ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U
262a338f247SYinan Xu    }
263bcce877bSYinan Xu    readReg && stateReady
264a338f247SYinan Xu  }
2655c7674feSYinan Xu  def srcIsReady: Vec[Bool] = {
266c9ebdf90SYinan Xu    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy })
2675c7674feSYinan Xu  }
2686ab6918fSYinan Xu  def clearExceptions(
2696ab6918fSYinan Xu    exceptionBits: Seq[Int] = Seq(),
2706ab6918fSYinan Xu    flushPipe: Boolean = false,
2716ab6918fSYinan Xu    replayInst: Boolean = false
2726ab6918fSYinan Xu  ): MicroOp = {
2736ab6918fSYinan Xu    cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B)
2746ab6918fSYinan Xu    if (!flushPipe) { ctrl.flushPipe := false.B }
2756ab6918fSYinan Xu    if (!replayInst) { ctrl.replayInst := false.B }
276c88c3a2aSYinan Xu    this
277c88c3a2aSYinan Xu  }
278a19215ddSYinan Xu  // Assume only the LUI instruction is decoded with IMM_U in ALU.
279a19215ddSYinan Xu  def isLUI: Bool = ctrl.selImm === SelImm.IMM_U && ctrl.fuType === FuType.alu
280bcce877bSYinan Xu  // This MicroOp is used to wakeup another uop (the successor: (psrc, srcType).
281bcce877bSYinan Xu  def wakeup(successor: Seq[(UInt, UInt)], exuCfg: ExuConfig): Seq[(Bool, Bool)] = {
282bcce877bSYinan Xu    successor.map{ case (src, srcType) =>
283bcce877bSYinan Xu      val pdestMatch = pdest === src
284bcce877bSYinan Xu      // For state: no need to check whether src is x0/imm/pc because they are always ready.
285bcce877bSYinan Xu      val rfStateMatch = if (exuCfg.readIntRf) ctrl.rfWen else false.B
2860f038924SZhangZifei      // FIXME: divide fpMatch and vecMatch then
287bcce877bSYinan Xu      val fpMatch = if (exuCfg.readFpRf) ctrl.fpWen else false.B
288cbd13d6eSZhangZifei      val vecMatch = if (exuCfg.readVecRf) ctrl.vecWen else false.B
2890f038924SZhangZifei      val allIntFpVec = exuCfg.readIntRf && exuCfg.readFpVecRf
2900f038924SZhangZifei      val allStateMatch = Mux(SrcType.isVp(srcType), vecMatch, Mux(SrcType.isFp(srcType), fpMatch, rfStateMatch))
2910f038924SZhangZifei      val stateCond = pdestMatch && (if (allIntFpVec) allStateMatch else rfStateMatch || fpMatch || vecMatch)
292bcce877bSYinan Xu      // For data: types are matched and int pdest is not $zero.
293bcce877bSYinan Xu      val rfDataMatch = if (exuCfg.readIntRf) ctrl.rfWen && src =/= 0.U else false.B
2940f038924SZhangZifei      val dataCond = pdestMatch && (rfDataMatch && SrcType.isReg(srcType) || fpMatch && SrcType.isFp(srcType) || vecMatch && SrcType.isVp(srcType))
295bcce877bSYinan Xu      (stateCond, dataCond)
296bcce877bSYinan Xu    }
297bcce877bSYinan Xu  }
298bcce877bSYinan Xu  // This MicroOp is used to wakeup another uop (the successor: MicroOp).
299bcce877bSYinan Xu  def wakeup(successor: MicroOp, exuCfg: ExuConfig): Seq[(Bool, Bool)] = {
300bcce877bSYinan Xu    wakeup(successor.psrc.zip(successor.ctrl.srcType), exuCfg)
301bcce877bSYinan Xu  }
30274515c5aSYinan Xu  def isJump: Bool = FuType.isJumpExu(ctrl.fuType)
3035844fcf0SLinJiawei}
3045844fcf0SLinJiawei
30546f74b57SHaojin Tangclass XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle {
306de169c67SWilliam Wang  val uop = new MicroOp
30746f74b57SHaojin Tang}
30846f74b57SHaojin Tang
30946f74b57SHaojin Tangclass MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp {
310de169c67SWilliam Wang  val flag = UInt(1.W)
311de169c67SWilliam Wang}
312de169c67SWilliam Wang
3132225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle {
3149aca92b9SYinan Xu  val robIdx = new RobPtr
31536d7aed5SLinJiawei  val ftqIdx = new FtqPtr
31636d7aed5SLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
317bfb958a3SYinan Xu  val level = RedirectLevel()
318bfb958a3SYinan Xu  val interrupt = Bool()
319c778d2afSLinJiawei  val cfiUpdate = new CfiUpdateInfo
320bfb958a3SYinan Xu
321de169c67SWilliam Wang  val stFtqIdx = new FtqPtr // for load violation predict
322de169c67SWilliam Wang  val stFtqOffset = UInt(log2Up(PredictWidth).W)
323fe211d16SLinJiawei
32420edb3f7SWilliam Wang  val debug_runahead_checkpoint_id = UInt(64.W)
32520edb3f7SWilliam Wang
3262d7c7105SYinan Xu  // def isUnconditional() = RedirectLevel.isUnconditional(level)
327bfb958a3SYinan Xu  def flushItself() = RedirectLevel.flushItself(level)
3282d7c7105SYinan Xu  // def isException() = RedirectLevel.isException(level)
329a25b1bceSLinJiawei}
330a25b1bceSLinJiawei
3312225d46eSJiawei Linclass Dp1ToDp2IO(implicit p: Parameters) extends XSBundle {
3325c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
3335c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
3345c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
3355844fcf0SLinJiawei}
3365844fcf0SLinJiawei
3372b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle {
33860deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
33960deaca2SLinJiawei  val isInt = Bool()
34060deaca2SLinJiawei  val isFp = Bool()
34160deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
3425844fcf0SLinJiawei}
3435844fcf0SLinJiawei
3442225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle {
34572235fa4SWilliam Wang  val isMMIO = Bool()
3468635f18fSwangkaifan  val isPerfCnt = Bool()
3478b91a337SWilliam Wang  val paddr = UInt(PAddrBits.W)
34872951335SLi Qianruo  val vaddr = UInt(VAddrBits.W)
3498744445eSMaxpicca-Li  /* add L/S inst info in EXU */
3508744445eSMaxpicca-Li  // val L1toL2TlbLatency = UInt(XLEN.W)
3518744445eSMaxpicca-Li  // val levelTlbHit = UInt(2.W)
352e402d94eSWilliam Wang}
3535844fcf0SLinJiawei
35440a70bd6SZhangZifeiclass ExuInput(isVpu: Boolean = false)(implicit p: Parameters) extends XSBundleWithMicroOp {
35540a70bd6SZhangZifei  val dataWidth = if (isVpu) VLEN else XLEN
35640a70bd6SZhangZifei
357822120dfSczw  val src = Vec(4, UInt(dataWidth.W))
3585844fcf0SLinJiawei}
3595844fcf0SLinJiawei
36040a70bd6SZhangZifeiclass ExuOutput(isVpu: Boolean = false)(implicit p: Parameters) extends XSBundleWithMicroOp {
36140a70bd6SZhangZifei  val dataWidth = if (isVpu) VLEN else XLEN
36240a70bd6SZhangZifei
36340a70bd6SZhangZifei  val data = UInt(dataWidth.W)
3647f1506e3SLinJiawei  val fflags = UInt(5.W)
36597cfa7f8SLinJiawei  val redirectValid = Bool()
36697cfa7f8SLinJiawei  val redirect = new Redirect
367e402d94eSWilliam Wang  val debug = new DebugBundle
3685844fcf0SLinJiawei}
3695844fcf0SLinJiawei
3702225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
37135bfeecbSYinan Xu  val mtip = Input(Bool())
37235bfeecbSYinan Xu  val msip = Input(Bool())
37335bfeecbSYinan Xu  val meip = Input(Bool())
374b3d79b37SYinan Xu  val seip = Input(Bool())
375d4aca96cSlqre  val debug = Input(Bool())
3765844fcf0SLinJiawei}
3775844fcf0SLinJiawei
3782225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle {
37935bfeecbSYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
3803fa7b737SYinan Xu  val isInterrupt = Input(Bool())
38135bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
38235bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
38335bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
38435bfeecbSYinan Xu  val interrupt = Output(Bool())
38535bfeecbSYinan Xu}
38635bfeecbSYinan Xu
38746f74b57SHaojin Tangclass ExceptionInfo(implicit p: Parameters) extends XSBundleWithMicroOp {
3883a474d38SYinan Xu  val isInterrupt = Bool()
3893a474d38SYinan Xu}
3903a474d38SYinan Xu
3919aca92b9SYinan Xuclass RobCommitInfo(implicit p: Parameters) extends XSBundle {
392a7a8a6ccSHaojin Tang  val ldest = UInt(6.W)
393fe6452fcSYinan Xu  val rfWen = Bool()
394fe6452fcSYinan Xu  val fpWen = Bool()
395deb6421eSHaojin Tang  val vecWen = Bool()
3960f038924SZhangZifei  def fpVecWen = fpWen || vecWen
397a1fd7de4SLinJiawei  val wflags = Bool()
398fe6452fcSYinan Xu  val commitType = CommitType()
399fe6452fcSYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
400fe6452fcSYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
401884dbb3bSLinJiawei  val ftqIdx = new FtqPtr
402884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
403ccfddc82SHaojin Tang  val isMove = Bool()
4045844fcf0SLinJiawei
4059ecac1e8SYinan Xu  // these should be optimized for synthesis verilog
4069ecac1e8SYinan Xu  val pc = UInt(VAddrBits.W)
4074aa9ed34Sfdy
4084aa9ed34Sfdy  val uopIdx = UInt(5.W)
4098a264e15Smaliao  val vconfig = new VConfig
410fe6452fcSYinan Xu}
4115844fcf0SLinJiawei
4129aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle {
413ccfddc82SHaojin Tang  val isCommit = Bool()
414ccfddc82SHaojin Tang  val commitValid = Vec(CommitWidth, Bool())
4156474c47fSYinan Xu
416ccfddc82SHaojin Tang  val isWalk = Bool()
417c51eab43SYinan Xu  // valid bits optimized for walk
418ccfddc82SHaojin Tang  val walkValid = Vec(CommitWidth, Bool())
4196474c47fSYinan Xu
420ccfddc82SHaojin Tang  val info = Vec(CommitWidth, new RobCommitInfo)
42121e7a6c5SYinan Xu
4226474c47fSYinan Xu  def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR
4236474c47fSYinan Xu  def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR
4245844fcf0SLinJiawei}
4255844fcf0SLinJiawei
4261b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle {
42764e8d8bdSZhangZifei  val rsIdx = UInt(log2Up(IssQueSize).W)
428037a131fSWilliam Wang  val hit = Bool()
42962f57a35SLemover  val flushState = Bool()
4301b7adedcSWilliam Wang  val sourceType = RSFeedbackType()
431c7160cd3SWilliam Wang  val dataInvalidSqIdx = new SqPtr
432037a131fSWilliam Wang}
433037a131fSWilliam Wang
434d87b76aaSWilliam Wangclass MemRSFeedbackIO(implicit p: Parameters) extends XSBundle {
435d87b76aaSWilliam Wang  // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO
436d87b76aaSWilliam Wang  // for instance: MemRSFeedbackIO()(updateP)
437d87b76aaSWilliam Wang  val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss
438d87b76aaSWilliam Wang  val feedbackFast = ValidIO(new RSFeedback()) // bank conflict
439d87b76aaSWilliam Wang  val rsIdx = Input(UInt(log2Up(IssQueSize).W))
440d87b76aaSWilliam Wang  val isFirstIssue = Input(Bool())
441d87b76aaSWilliam Wang}
442d87b76aaSWilliam Wang
443f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
4445844fcf0SLinJiawei  // to backend end
4455844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
446f06ca0bfSLingrui98  val fromFtq = new FtqToCtrlIO
4475844fcf0SLinJiawei  // from backend
448f06ca0bfSLingrui98  val toFtq = Flipped(new CtrlToFtqIO)
4491e3fad10SLinJiawei}
450fcff7e94SZhangZifei
451f1fe8698SLemoverclass SatpStruct(implicit p: Parameters) extends XSBundle {
45245f497a4Shappy-lx  val mode = UInt(4.W)
45345f497a4Shappy-lx  val asid = UInt(16.W)
45445f497a4Shappy-lx  val ppn  = UInt(44.W)
45545f497a4Shappy-lx}
45645f497a4Shappy-lx
457f1fe8698SLemoverclass TlbSatpBundle(implicit p: Parameters) extends SatpStruct {
45845f497a4Shappy-lx  val changed = Bool()
45945f497a4Shappy-lx
46045f497a4Shappy-lx  def apply(satp_value: UInt): Unit = {
46145f497a4Shappy-lx    require(satp_value.getWidth == XLEN)
46245f497a4Shappy-lx    val sa = satp_value.asTypeOf(new SatpStruct)
46345f497a4Shappy-lx    mode := sa.mode
46445f497a4Shappy-lx    asid := sa.asid
465f1fe8698SLemover    ppn := Cat(0.U(44-PAddrBits), sa.ppn(PAddrBits-1, 0)).asUInt()
46645f497a4Shappy-lx    changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush
46745f497a4Shappy-lx  }
468fcff7e94SZhangZifei}
469f1fe8698SLemover
470f1fe8698SLemoverclass TlbCsrBundle(implicit p: Parameters) extends XSBundle {
471f1fe8698SLemover  val satp = new TlbSatpBundle()
472fcff7e94SZhangZifei  val priv = new Bundle {
473fcff7e94SZhangZifei    val mxr = Bool()
474fcff7e94SZhangZifei    val sum = Bool()
475fcff7e94SZhangZifei    val imode = UInt(2.W)
476fcff7e94SZhangZifei    val dmode = UInt(2.W)
477fcff7e94SZhangZifei  }
4788fc4e859SZhangZifei
4798fc4e859SZhangZifei  override def toPrintable: Printable = {
4808fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
4818fc4e859SZhangZifei      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
4828fc4e859SZhangZifei  }
483fcff7e94SZhangZifei}
484fcff7e94SZhangZifei
4852225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle {
486fcff7e94SZhangZifei  val valid = Bool()
487fcff7e94SZhangZifei  val bits = new Bundle {
488fcff7e94SZhangZifei    val rs1 = Bool()
489fcff7e94SZhangZifei    val rs2 = Bool()
490fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
49145f497a4Shappy-lx    val asid = UInt(AsidLength.W)
492f1fe8698SLemover    val flushPipe = Bool()
493fcff7e94SZhangZifei  }
4948fc4e859SZhangZifei
4958fc4e859SZhangZifei  override def toPrintable: Printable = {
496f1fe8698SLemover    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}"
4978fc4e859SZhangZifei  }
498fcff7e94SZhangZifei}
499a165bd69Swangkaifan
500de169c67SWilliam Wang// Bundle for load violation predictor updating
501de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
5022b8b2e7aSWilliam Wang  val valid = Bool()
503de169c67SWilliam Wang
504de169c67SWilliam Wang  // wait table update
505de169c67SWilliam Wang  val waddr = UInt(MemPredPCWidth.W)
5062b8b2e7aSWilliam Wang  val wdata = Bool() // true.B by default
507de169c67SWilliam Wang
508de169c67SWilliam Wang  // store set update
509de169c67SWilliam Wang  // by default, ldpc/stpc should be xor folded
510de169c67SWilliam Wang  val ldpc = UInt(MemPredPCWidth.W)
511de169c67SWilliam Wang  val stpc = UInt(MemPredPCWidth.W)
5122b8b2e7aSWilliam Wang}
5132b8b2e7aSWilliam Wang
5142225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
5152b8b2e7aSWilliam Wang  // Prefetcher
516ecccf78fSJay  val l1I_pf_enable = Output(Bool())
5172b8b2e7aSWilliam Wang  val l2_pf_enable = Output(Bool())
51885de5caeSLinJiawei  val l1D_pf_enable = Output(Bool())
51985de5caeSLinJiawei  val l1D_pf_train_on_hit = Output(Bool())
52085de5caeSLinJiawei  val l1D_pf_enable_agt = Output(Bool())
52185de5caeSLinJiawei  val l1D_pf_enable_pht = Output(Bool())
5225d13017eSLinJiawei  val l1D_pf_active_threshold = Output(UInt(4.W))
5235d13017eSLinJiawei  val l1D_pf_active_stride = Output(UInt(6.W))
524edbf1204SLinJiawei  val l1D_pf_enable_stride = Output(Bool())
525f1d78cf7SLinJiawei  val l2_pf_store_only = Output(Bool())
526ecccf78fSJay  // ICache
527ecccf78fSJay  val icache_parity_enable = Output(Bool())
528f3f22d72SYinan Xu  // Labeled XiangShan
5292b8b2e7aSWilliam Wang  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
530f3f22d72SYinan Xu  // Load violation predictor
5312b8b2e7aSWilliam Wang  val lvpred_disable = Output(Bool())
5322b8b2e7aSWilliam Wang  val no_spec_load = Output(Bool())
533c7160cd3SWilliam Wang  val storeset_wait_store = Output(Bool())
534c7160cd3SWilliam Wang  val storeset_no_fast_wakeup = Output(Bool())
535c7160cd3SWilliam Wang  val lvpred_timeout = Output(UInt(5.W))
536f3f22d72SYinan Xu  // Branch predictor
5372b8b2e7aSWilliam Wang  val bp_ctrl = Output(new BPUCtrl)
538f3f22d72SYinan Xu  // Memory Block
539f3f22d72SYinan Xu  val sbuffer_threshold = Output(UInt(4.W))
540d10a581eSWilliam Wang  val ldld_vio_check_enable = Output(Bool())
541d10a581eSWilliam Wang  val soft_prefetch_enable = Output(Bool())
542a4e57ea3SLi Qianruo  val cache_error_enable = Output(Bool())
54337225120Ssfencevma  val uncache_write_outstanding_enable = Output(Bool())
544aac4464eSYinan Xu  // Rename
5455b47c58cSYinan Xu  val fusion_enable = Output(Bool())
5465b47c58cSYinan Xu  val wfi_enable = Output(Bool())
547af2f7849Shappy-lx  // Decode
548af2f7849Shappy-lx  val svinval_enable = Output(Bool())
549af2f7849Shappy-lx
550b6982e83SLemover  // distribute csr write signal
551b6982e83SLemover  val distribute_csr = new DistributedCSRIO()
55272951335SLi Qianruo
553ddb65c47SLi Qianruo  val singlestep = Output(Bool())
55472951335SLi Qianruo  val frontend_trigger = new FrontendTdataDistributeIO()
55572951335SLi Qianruo  val mem_trigger = new MemTdataDistributeIO()
55672951335SLi Qianruo  val trigger_enable = Output(Vec(10, Bool()))
557b6982e83SLemover}
558b6982e83SLemover
559b6982e83SLemoverclass DistributedCSRIO(implicit p: Parameters) extends XSBundle {
5601c746d3aScui fliter  // CSR has been written by csr inst, copies of csr should be updated
561b6982e83SLemover  val w = ValidIO(new Bundle {
562b6982e83SLemover    val addr = Output(UInt(12.W))
563b6982e83SLemover    val data = Output(UInt(XLEN.W))
564b6982e83SLemover  })
5652b8b2e7aSWilliam Wang}
566e19f7967SWilliam Wang
567e19f7967SWilliam Wangclass DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle {
568e19f7967SWilliam Wang  // Request csr to be updated
569e19f7967SWilliam Wang  //
570e19f7967SWilliam Wang  // Note that this request will ONLY update CSR Module it self,
571e19f7967SWilliam Wang  // copies of csr will NOT be updated, use it with care!
572e19f7967SWilliam Wang  //
573e19f7967SWilliam Wang  // For each cycle, no more than 1 DistributedCSRUpdateReq is valid
574e19f7967SWilliam Wang  val w = ValidIO(new Bundle {
575e19f7967SWilliam Wang    val addr = Output(UInt(12.W))
576e19f7967SWilliam Wang    val data = Output(UInt(XLEN.W))
577e19f7967SWilliam Wang  })
578e19f7967SWilliam Wang  def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = {
579e19f7967SWilliam Wang    when(valid){
580e19f7967SWilliam Wang      w.bits.addr := addr
581e19f7967SWilliam Wang      w.bits.data := data
582e19f7967SWilliam Wang    }
583e19f7967SWilliam Wang    println("Distributed CSR update req registered for " + src_description)
584e19f7967SWilliam Wang  }
585e19f7967SWilliam Wang}
58672951335SLi Qianruo
5870f59c834SWilliam Wangclass L1CacheErrorInfo(implicit p: Parameters) extends XSBundle {
5880f59c834SWilliam Wang  // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR
5890f59c834SWilliam Wang  val source = Output(new Bundle() {
5900f59c834SWilliam Wang    val tag = Bool() // l1 tag array
5910f59c834SWilliam Wang    val data = Bool() // l1 data array
5920f59c834SWilliam Wang    val l2 = Bool()
5930f59c834SWilliam Wang  })
5940f59c834SWilliam Wang  val opType = Output(new Bundle() {
5950f59c834SWilliam Wang    val fetch = Bool()
5960f59c834SWilliam Wang    val load = Bool()
5970f59c834SWilliam Wang    val store = Bool()
5980f59c834SWilliam Wang    val probe = Bool()
5990f59c834SWilliam Wang    val release = Bool()
6000f59c834SWilliam Wang    val atom = Bool()
6010f59c834SWilliam Wang  })
6020f59c834SWilliam Wang  val paddr = Output(UInt(PAddrBits.W))
6030f59c834SWilliam Wang
6040f59c834SWilliam Wang  // report error and paddr to beu
6050f59c834SWilliam Wang  // bus error unit will receive error info iff ecc_error.valid
6060f59c834SWilliam Wang  val report_to_beu = Output(Bool())
6070f59c834SWilliam Wang
6080f59c834SWilliam Wang  // there is an valid error
6090f59c834SWilliam Wang  // l1 cache error will always be report to CACHE_ERROR csr
6100f59c834SWilliam Wang  val valid = Output(Bool())
6110f59c834SWilliam Wang
6120f59c834SWilliam Wang  def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = {
6130f59c834SWilliam Wang    val beu_info = Wire(new L1BusErrorUnitInfo)
6140f59c834SWilliam Wang    beu_info.ecc_error.valid := report_to_beu
6150f59c834SWilliam Wang    beu_info.ecc_error.bits := paddr
6160f59c834SWilliam Wang    beu_info
6170f59c834SWilliam Wang  }
6180f59c834SWilliam Wang}
619bc63e578SLi Qianruo
620bc63e578SLi Qianruo/* TODO how to trigger on next inst?
621bc63e578SLi Qianruo1. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep
622bc63e578SLi Qianruo2. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set
623bc63e578SLi Qianruoxret csr to pc + 4/ + 2
624bc63e578SLi Qianruo2.5 The problem is to let it commit. This is the real TODO
625bc63e578SLi Qianruo3. If it is load and hit before just treat it as regular load exception
626bc63e578SLi Qianruo */
627bc63e578SLi Qianruo
628bc63e578SLi Qianruo// This bundle carries trigger hit info along the pipeline
629bc63e578SLi Qianruo// Now there are 10 triggers divided into 5 groups of 2
630bc63e578SLi Qianruo// These groups are
631bc63e578SLi Qianruo// (if if) (store store) (load loid) (if store) (if load)
632bc63e578SLi Qianruo
633bc63e578SLi Qianruo// Triggers in the same group can chain, meaning that they only
634bc63e578SLi Qianruo// fire is both triggers in the group matches (the triggerHitVec bit is asserted)
635bc63e578SLi Qianruo// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i)
636bc63e578SLi Qianruo// Timing of 0 means trap at current inst, 1 means trap at next inst
637bc63e578SLi Qianruo// Chaining and timing and the validness of a trigger is controlled by csr
638bc63e578SLi Qianruo// In two chained triggers, if they have different timing, both won't fire
63984e47f35SLi Qianruo//class TriggerCf (implicit p: Parameters) extends XSBundle {
64084e47f35SLi Qianruo//  val triggerHitVec = Vec(10, Bool())
64184e47f35SLi Qianruo//  val triggerTiming = Vec(10, Bool())
64284e47f35SLi Qianruo//  val triggerChainVec = Vec(5, Bool())
64384e47f35SLi Qianruo//}
64484e47f35SLi Qianruo
64572951335SLi Qianruoclass TriggerCf(implicit p: Parameters) extends XSBundle {
64684e47f35SLi Qianruo  // frontend
64784e47f35SLi Qianruo  val frontendHit = Vec(4, Bool())
648ddb65c47SLi Qianruo//  val frontendTiming = Vec(4, Bool())
649ddb65c47SLi Qianruo//  val frontendHitNext = Vec(4, Bool())
65084e47f35SLi Qianruo
651ddb65c47SLi Qianruo//  val frontendException = Bool()
65284e47f35SLi Qianruo  // backend
65384e47f35SLi Qianruo  val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4)
65484e47f35SLi Qianruo  val backendHit = Vec(6, Bool())
655ddb65c47SLi Qianruo//  val backendTiming = Vec(6, Bool()) // trigger enable fro chain
65684e47f35SLi Qianruo
65784e47f35SLi Qianruo  // Two situations not allowed:
65884e47f35SLi Qianruo  // 1. load data comparison
65984e47f35SLi Qianruo  // 2. store chaining with store
66084e47f35SLi Qianruo  def getHitFrontend = frontendHit.reduce(_ || _)
66184e47f35SLi Qianruo  def getHitBackend = backendHit.reduce(_ || _)
662ddb65c47SLi Qianruo  def hit = getHitFrontend || getHitBackend
663d7dd1af1SLi Qianruo  def clear(): Unit = {
664d7dd1af1SLi Qianruo    frontendHit.foreach(_ := false.B)
665d7dd1af1SLi Qianruo    backendEn.foreach(_ := false.B)
666d7dd1af1SLi Qianruo    backendHit.foreach(_ := false.B)
667d7dd1af1SLi Qianruo  }
66872951335SLi Qianruo}
66972951335SLi Qianruo
670bc63e578SLi Qianruo// these 3 bundles help distribute trigger control signals from CSR
671bc63e578SLi Qianruo// to Frontend, Load and Store.
67272951335SLi Qianruoclass FrontendTdataDistributeIO(implicit p: Parameters)  extends XSBundle {
67372951335SLi Qianruo    val t = Valid(new Bundle {
67472951335SLi Qianruo      val addr = Output(UInt(2.W))
67572951335SLi Qianruo      val tdata = new MatchTriggerIO
67672951335SLi Qianruo    })
67772951335SLi Qianruo  }
67872951335SLi Qianruo
67972951335SLi Qianruoclass MemTdataDistributeIO(implicit p: Parameters)  extends XSBundle {
68072951335SLi Qianruo  val t = Valid(new Bundle {
68172951335SLi Qianruo    val addr = Output(UInt(3.W))
68272951335SLi Qianruo    val tdata = new MatchTriggerIO
68372951335SLi Qianruo  })
68472951335SLi Qianruo}
68572951335SLi Qianruo
68672951335SLi Qianruoclass MatchTriggerIO(implicit p: Parameters) extends XSBundle {
68772951335SLi Qianruo  val matchType = Output(UInt(2.W))
68872951335SLi Qianruo  val select = Output(Bool())
68972951335SLi Qianruo  val timing = Output(Bool())
69072951335SLi Qianruo  val action = Output(Bool())
69172951335SLi Qianruo  val chain = Output(Bool())
69272951335SLi Qianruo  val tdata2 = Output(UInt(64.W))
69372951335SLi Qianruo}
694