xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 1670d14799b111f9d7e6d23ef22557375950e7c6)
11e3fad10SLinJiaweipackage xiangshan
21e3fad10SLinJiawei
31e3fad10SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
5c2a8ae00SYikeZhouimport xiangshan.backend.SelImm
642707b3bSYinan Xuimport xiangshan.backend.roq.RoqPtr
7b0ae3ac4SLinJiaweiimport xiangshan.backend.decode.{ImmUnion, XDecode}
85c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
966b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
10f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
11f3501106SGouLingruiimport xiangshan.frontend.HasTageParameter
12ceaf5e1fSLingrui98import xiangshan.frontend.HasIFUConst
13f634c609SLingrui98import xiangshan.frontend.GlobalHistory
147447ee13SLingrui98import xiangshan.frontend.RASEntry
15ceaf5e1fSLingrui98import utils._
16b0ae3ac4SLinJiawei
172fbdb79bSLingrui98import scala.math.max
18d471c5aeSLingrui98import Chisel.experimental.chiselName
19884dbb3bSLinJiaweiimport xiangshan.backend.ftq.FtqPtr
201e3fad10SLinJiawei
215844fcf0SLinJiawei// Fetch FetchWidth x 32-bit insts from Icache
221e3fad10SLinJiaweiclass FetchPacket extends XSBundle {
2328958354Szhanglinjuan  val instrs = Vec(PredictWidth, UInt(32.W))
2428958354Szhanglinjuan  val mask = UInt(PredictWidth.W)
254ec80874Szoujr  val pdmask = UInt(PredictWidth.W)
2642696a74Szhanglinjuan  // val pc = UInt(VAddrBits.W)
2742696a74Szhanglinjuan  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
28a428082bSLinJiawei  val pd = Vec(PredictWidth, new PreDecodeInfo)
295a67e465Szhanglinjuan  val ipf = Bool()
307e6acce3Sjinyue110  val acf = Bool()
315a67e465Szhanglinjuan  val crossPageIPFFix = Bool()
32744c623cSLingrui98  val pred_taken = UInt(PredictWidth.W)
33744c623cSLingrui98  val ftqPtr = new FtqPtr
341e3fad10SLinJiawei}
351e3fad10SLinJiawei
36627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
373803411bSzhanglinjuan  val valid = Bool()
3835fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
39fe211d16SLinJiawei
40627c0a19Szhanglinjuan  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
413803411bSzhanglinjuan}
423803411bSzhanglinjuan
43627c0a19Szhanglinjuanobject ValidUndirectioned {
44627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
45627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
463803411bSzhanglinjuan  }
473803411bSzhanglinjuan}
483803411bSzhanglinjuan
49534e17a9SLingrui98class SCMeta(val useSC: Boolean) extends XSBundle with HasTageParameter {
502fbdb79bSLingrui98  def maxVal = 8 * ((1 << TageCtrBits) - 1) + SCTableInfo.map { case (_, cb, _) => (1 << cb) - 1 }.reduce(_ + _)
51fe211d16SLinJiawei
522fbdb79bSLingrui98  def minVal = -(8 * (1 << TageCtrBits) + SCTableInfo.map { case (_, cb, _) => 1 << cb }.reduce(_ + _))
53fe211d16SLinJiawei
542fbdb79bSLingrui98  def sumCtrBits = max(log2Ceil(-minVal), log2Ceil(maxVal + 1)) + 1
55fe211d16SLinJiawei
562fbdb79bSLingrui98  val tageTaken = if (useSC) Bool() else UInt(0.W)
572fbdb79bSLingrui98  val scUsed = if (useSC) Bool() else UInt(0.W)
582fbdb79bSLingrui98  val scPred = if (useSC) Bool() else UInt(0.W)
592fbdb79bSLingrui98  // Suppose ctrbits of all tables are identical
602fbdb79bSLingrui98  val ctrs = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W))
616b98bdcbSLingrui98  val sumAbs = if (useSC) UInt(sumCtrBits.W) else UInt(0.W)
622fbdb79bSLingrui98}
632fbdb79bSLingrui98
64f3501106SGouLingruiclass TageMeta extends XSBundle with HasTageParameter {
65627c0a19Szhanglinjuan  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
661e7d14a8Szhanglinjuan  val altDiffers = Bool()
671e7d14a8Szhanglinjuan  val providerU = UInt(2.W)
681e7d14a8Szhanglinjuan  val providerCtr = UInt(3.W)
69627c0a19Szhanglinjuan  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
706b98bdcbSLingrui98  val taken = Bool()
712fbdb79bSLingrui98  val scMeta = new SCMeta(EnableSC)
721e7d14a8Szhanglinjuan}
731e7d14a8Szhanglinjuan
74d471c5aeSLingrui98@chiselName
75ceaf5e1fSLingrui98class BranchPrediction extends XSBundle with HasIFUConst {
76ceaf5e1fSLingrui98  // val redirect = Bool()
77ceaf5e1fSLingrui98  val takens = UInt(PredictWidth.W)
78ceaf5e1fSLingrui98  // val jmpIdx = UInt(log2Up(PredictWidth).W)
79ceaf5e1fSLingrui98  val brMask = UInt(PredictWidth.W)
80ceaf5e1fSLingrui98  val jalMask = UInt(PredictWidth.W)
81ceaf5e1fSLingrui98  val targets = Vec(PredictWidth, UInt(VAddrBits.W))
82ceaf5e1fSLingrui98
83576af497SLingrui98  // half RVI could only start at the end of a packet
84576af497SLingrui98  val hasHalfRVI = Bool()
85ceaf5e1fSLingrui98
86d42f3562SLingrui98  def brNotTakens = (~takens & brMask)
87ceaf5e1fSLingrui98
88ceaf5e1fSLingrui98  def sawNotTakenBr = VecInit((0 until PredictWidth).map(i =>
8944ff7871SLingrui98    (if (i == 0) false.B else ParallelORR(brNotTakens(i - 1, 0)))))
90fe211d16SLinJiawei
91818ec9f9SLingrui98  // if not taken before the half RVI inst
92576af497SLingrui98  def saveHalfRVI = hasHalfRVI && !(ParallelORR(takens(PredictWidth - 2, 0)))
93fe211d16SLinJiawei
94ceaf5e1fSLingrui98  // could get PredictWidth-1 when only the first bank is valid
95d42f3562SLingrui98  def jmpIdx = ParallelPriorityEncoder(takens)
96fe211d16SLinJiawei
97ceaf5e1fSLingrui98  // only used when taken
98c0c378b3SLingrui98  def target = {
99c0c378b3SLingrui98    val generator = new PriorityMuxGenerator[UInt]
100d42f3562SLingrui98    generator.register(takens.asBools, targets, List.fill(PredictWidth)(None))
101c0c378b3SLingrui98    generator()
102c0c378b3SLingrui98  }
103fe211d16SLinJiawei
104d42f3562SLingrui98  def taken = ParallelORR(takens)
105fe211d16SLinJiawei
106d42f3562SLingrui98  def takenOnBr = taken && ParallelPriorityMux(takens, brMask.asBools)
107fe211d16SLinJiawei
108d42f3562SLingrui98  def hasNotTakenBrs = Mux(taken, ParallelPriorityMux(takens, sawNotTakenBr), ParallelORR(brNotTakens))
10966b0d0c3Szhanglinjuan}
11066b0d0c3Szhanglinjuan
11151b2a476Szoujrclass PredictorAnswer extends XSBundle {
112097c2688SLingrui98  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
113097c2688SLingrui98  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
114097c2688SLingrui98  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
11551b2a476Szoujr}
11651b2a476Szoujr
11743ad9482SLingrui98class BpuMeta extends XSBundle with HasBPUParameter {
11853bf6077SLingrui98  val ubtbWriteWay = UInt(log2Up(UBtbWays).W)
119e3aeae54SLingrui98  val ubtbHits = Bool()
12053bf6077SLingrui98  val btbWriteWay = UInt(log2Up(BtbWays).W)
121e3aeae54SLingrui98  val bimCtr = UInt(2.W)
122f226232fSzhanglinjuan  val tageMeta = new TageMeta
123f634c609SLingrui98  // for global history
124f226232fSzhanglinjuan
1253a48285bSGouLingrui  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1263a48285bSGouLingrui  val debug_btb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
1273a48285bSGouLingrui  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
128ec776fa0SLingrui98
1297d793c5aSzoujr  val predictor = if (BPUDebug) UInt(log2Up(4).W) else UInt(0.W) // Mark which component this prediction comes from {ubtb, btb, tage, loopPredictor}
1307d793c5aSzoujr
13151b2a476Szoujr  val ubtbAns = new PredictorAnswer
13251b2a476Szoujr  val btbAns = new PredictorAnswer
13351b2a476Szoujr  val tageAns = new PredictorAnswer
13451b2a476Szoujr  val rasAns = new PredictorAnswer
13551b2a476Szoujr  val loopAns = new PredictorAnswer
13651b2a476Szoujr
137f634c609SLingrui98  // def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
138f634c609SLingrui98  //   this.histPtr := histPtr
139f634c609SLingrui98  //   this.tageMeta := tageMeta
140f634c609SLingrui98  //   this.rasSp := rasSp
141f634c609SLingrui98  //   this.rasTopCtr := rasTopCtr
142f634c609SLingrui98  //   this.asUInt
143f634c609SLingrui98  // }
144f226232fSzhanglinjuan  def size = 0.U.asTypeOf(this).getWidth
145fe211d16SLinJiawei
146f226232fSzhanglinjuan  def fromUInt(x: UInt) = x.asTypeOf(this)
14766b0d0c3Szhanglinjuan}
14866b0d0c3Szhanglinjuan
14904fb04efSLingrui98class Predecode extends XSBundle with HasIFUConst {
150ceaf5e1fSLingrui98  val hasLastHalfRVI = Bool()
1516215f044SLingrui98  val mask = UInt(PredictWidth.W)
152576af497SLingrui98  val lastHalf = Bool()
1536215f044SLingrui98  val pd = Vec(PredictWidth, (new PreDecodeInfo))
1546fb61704Szhanglinjuan}
1556fb61704Szhanglinjuan
1567d793c5aSzoujrclass CfiUpdateInfo extends XSBundle with HasBPUParameter {
157f226232fSzhanglinjuan  // from backend
15869cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
159f226232fSzhanglinjuan  // frontend -> backend -> frontend
160f226232fSzhanglinjuan  val pd = new PreDecodeInfo
1618a5e9243SLinJiawei  val rasSp = UInt(log2Up(RasSize).W)
1622e947747SLinJiawei  val rasEntry = new RASEntry
1638a5e9243SLinJiawei  val hist = new GlobalHistory
1648a5e9243SLinJiawei  val predHist = new GlobalHistory
1652e947747SLinJiawei  val specCnt = UInt(10.W)
166fe3a74fcSYinan Xu  // need pipeline update
1672e947747SLinJiawei  val sawNotTakenBranch = Bool()
1682e947747SLinJiawei  val predTaken = Bool()
169b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
1709a2e6b8aSLinJiawei  val taken = Bool()
171b2e6921eSLinJiawei  val isMisPred = Bool()
172b2e6921eSLinJiawei}
173b2e6921eSLinJiawei
1745844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
1755844fcf0SLinJiaweiclass CtrlFlow extends XSBundle {
1765844fcf0SLinJiawei  val instr = UInt(32.W)
1775844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
178baf8def6SYinan Xu  val exceptionVec = ExceptionVec()
1795844fcf0SLinJiawei  val intrVec = Vec(12, Bool())
180faf3cfa9SLinJiawei  val pd = new PreDecodeInfo
181cde9280dSLinJiawei  val pred_taken = Bool()
182c84054caSLinJiawei  val crossPageIPFFix = Bool()
183884dbb3bSLinJiawei  val ftqPtr = new FtqPtr
184884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
1855844fcf0SLinJiawei}
1865844fcf0SLinJiawei
1878a5e9243SLinJiaweiclass FtqEntry extends XSBundle {
188ec778fd0SLingrui98  // fetch pc, pc of each inst could be generated by concatenation
189*1670d147SLingrui98  val ftqPC = UInt(VAddrBits.W)
190*1670d147SLingrui98  val lastPacketPC = ValidUndirectioned(UInt(VAddrBits.W))
191ec778fd0SLingrui98  // prediction metas
192ec778fd0SLingrui98  val hist = new GlobalHistory
193ec778fd0SLingrui98  val predHist = new GlobalHistory
194ec778fd0SLingrui98  val rasSp = UInt(log2Ceil(RasSize).W)
195ec778fd0SLingrui98  val rasTop = new RASEntry()
196744c623cSLingrui98  val specCnt = Vec(PredictWidth, UInt(10.W))
197ec778fd0SLingrui98  val metas = Vec(PredictWidth, new BpuMeta)
198ec778fd0SLingrui98
199b97160feSLinJiawei  val cfiIsCall, cfiIsRet, cfiIsRVC = Bool()
200744c623cSLingrui98  val rvc_mask = Vec(PredictWidth, Bool())
201b97160feSLinJiawei  val br_mask = Vec(PredictWidth, Bool())
202b97160feSLinJiawei  val cfiIndex = ValidUndirectioned(UInt(log2Up(PredictWidth).W))
203b97160feSLinJiawei  val valids = Vec(PredictWidth, Bool())
204ec778fd0SLingrui98
205c778d2afSLinJiawei  // backend update
206c778d2afSLinJiawei  val mispred = Vec(PredictWidth, Bool())
207148ba860SLinJiawei  val target = UInt(VAddrBits.W)
208744c623cSLingrui98
209744c623cSLingrui98  def takens = VecInit((0 until PredictWidth).map(i => cfiIndex.valid && cfiIndex.bits === i.U))
210*1670d147SLingrui98  def hasLastPrev = lastPacketPC.valid
211fe211d16SLinJiawei
212fe211d16SLinJiawei  override def toPrintable: Printable = {
213*1670d147SLingrui98    p"ftqPC: ${Hexadecimal(ftqPC)} lastPacketPC: ${Hexadecimal(lastPacketPC.bits)} hasLastPrev:$hasLastPrev " +
21448dc7634SLinJiawei      p"rasSp:$rasSp specCnt:$specCnt brmask:${Binary(Cat(br_mask))} rvcmask:${Binary(Cat(rvc_mask))} " +
21548dc7634SLinJiawei      p"valids:${Binary(valids.asUInt())} cfi valid: ${cfiIndex.valid} " +
216fe211d16SLinJiawei      p"cfi index: ${cfiIndex.bits} isCall:$cfiIsCall isRet:$cfiIsRet isRvc:$cfiIsRVC " +
21748dc7634SLinJiawei      p"mispred:${Binary(Cat(mispred))} target:${Hexadecimal(target)}\n"
218ec778fd0SLingrui98  }
219ec778fd0SLingrui98
2205844fcf0SLinJiawei}
2215844fcf0SLinJiawei
222579b9f28SLinJiawei
223579b9f28SLinJiaweiclass FPUCtrlSignals extends XSBundle {
2242ce29ed6SLinJiawei  val isAddSub = Bool() // swap23
2252ce29ed6SLinJiawei  val typeTagIn = UInt(2.W)
2262ce29ed6SLinJiawei  val typeTagOut = UInt(2.W)
2272ce29ed6SLinJiawei  val fromInt = Bool()
2282ce29ed6SLinJiawei  val wflags = Bool()
2292ce29ed6SLinJiawei  val fpWen = Bool()
2302ce29ed6SLinJiawei  val fmaCmd = UInt(2.W)
2312ce29ed6SLinJiawei  val div = Bool()
2322ce29ed6SLinJiawei  val sqrt = Bool()
2332ce29ed6SLinJiawei  val fcvt = Bool()
2342ce29ed6SLinJiawei  val typ = UInt(2.W)
2352ce29ed6SLinJiawei  val fmt = UInt(2.W)
2362ce29ed6SLinJiawei  val ren3 = Bool() //TODO: remove SrcType.fp
237579b9f28SLinJiawei}
238579b9f28SLinJiawei
2395844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
2405844fcf0SLinJiaweiclass CtrlSignals extends XSBundle {
2419a2e6b8aSLinJiawei  val src1Type, src2Type, src3Type = SrcType()
2429a2e6b8aSLinJiawei  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
2439a2e6b8aSLinJiawei  val ldest = UInt(5.W)
2449a2e6b8aSLinJiawei  val fuType = FuType()
2459a2e6b8aSLinJiawei  val fuOpType = FuOpType()
2469a2e6b8aSLinJiawei  val rfWen = Bool()
2479a2e6b8aSLinJiawei  val fpWen = Bool()
2489a2e6b8aSLinJiawei  val isXSTrap = Bool()
2492d366136SLinJiawei  val noSpecExec = Bool() // wait forward
2502d366136SLinJiawei  val blockBackward = Bool() // block backward
25145a56a29SZhangZifei  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
252db34a189SLinJiawei  val isRVF = Bool()
253c2a8ae00SYikeZhou  val selImm = SelImm()
254b0ae3ac4SLinJiawei  val imm = UInt(ImmUnion.maxLen.W)
255a3edac52SYinan Xu  val commitType = CommitType()
256579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
257be25371aSYikeZhou
258be25371aSYikeZhou  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]) = {
259be25371aSYikeZhou    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
260be25371aSYikeZhou    val signals =
2614d24c305SYikeZhou      Seq(src1Type, src2Type, src3Type, fuType, fuOpType, rfWen, fpWen,
262c2a8ae00SYikeZhou        isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
263be25371aSYikeZhou    signals zip decoder map { case (s, d) => s := d }
2644d24c305SYikeZhou    commitType := DontCare
265be25371aSYikeZhou    this
266be25371aSYikeZhou  }
2675844fcf0SLinJiawei}
2685844fcf0SLinJiawei
2695844fcf0SLinJiaweiclass CfCtrl extends XSBundle {
2705844fcf0SLinJiawei  val cf = new CtrlFlow
2715844fcf0SLinJiawei  val ctrl = new CtrlSignals
2725844fcf0SLinJiawei}
2735844fcf0SLinJiawei
274ba4100caSYinan Xuclass PerfDebugInfo extends XSBundle {
275ba4100caSYinan Xu  // val fetchTime = UInt(64.W)
276ba4100caSYinan Xu  val renameTime = UInt(64.W)
2777cef916fSYinan Xu  val dispatchTime = UInt(64.W)
278ba4100caSYinan Xu  val issueTime = UInt(64.W)
279ba4100caSYinan Xu  val writebackTime = UInt(64.W)
2807cef916fSYinan Xu  // val commitTime = UInt(64.W)
281ba4100caSYinan Xu}
282ba4100caSYinan Xu
28348d1472eSWilliam Wang// Separate LSQ
284fe6452fcSYinan Xuclass LSIdx extends XSBundle {
285915c0dd4SYinan Xu  val lqIdx = new LqPtr
2865c1ae31bSYinan Xu  val sqIdx = new SqPtr
28724726fbfSWilliam Wang}
28824726fbfSWilliam Wang
289b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
290fe6452fcSYinan Xuclass MicroOp extends CfCtrl {
2919a2e6b8aSLinJiawei  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
2929a2e6b8aSLinJiawei  val src1State, src2State, src3State = SrcState()
29342707b3bSYinan Xu  val roqIdx = new RoqPtr
294fe6452fcSYinan Xu  val lqIdx = new LqPtr
295fe6452fcSYinan Xu  val sqIdx = new SqPtr
296355fcd20SAllen  val diffTestDebugLrScValid = Bool()
2977cef916fSYinan Xu  val debugInfo = new PerfDebugInfo
2985844fcf0SLinJiawei}
2995844fcf0SLinJiawei
3004d8e0a7fSYinan Xuclass Redirect extends XSBundle {
30142707b3bSYinan Xu  val roqIdx = new RoqPtr
30236d7aed5SLinJiawei  val ftqIdx = new FtqPtr
30336d7aed5SLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
304bfb958a3SYinan Xu  val level = RedirectLevel()
305bfb958a3SYinan Xu  val interrupt = Bool()
306c778d2afSLinJiawei  val cfiUpdate = new CfiUpdateInfo
307bfb958a3SYinan Xu
308fe211d16SLinJiawei
3092d7c7105SYinan Xu  // def isUnconditional() = RedirectLevel.isUnconditional(level)
310bfb958a3SYinan Xu  def flushItself() = RedirectLevel.flushItself(level)
3112d7c7105SYinan Xu  // def isException() = RedirectLevel.isException(level)
312a25b1bceSLinJiawei}
313a25b1bceSLinJiawei
3145844fcf0SLinJiaweiclass Dp1ToDp2IO extends XSBundle {
3155c7b21d5SYinan Xu  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
3165c7b21d5SYinan Xu  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
3175c7b21d5SYinan Xu  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
3185844fcf0SLinJiawei}
3195844fcf0SLinJiawei
32060deaca2SLinJiaweiclass ReplayPregReq extends XSBundle {
32160deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
32260deaca2SLinJiawei  val isInt = Bool()
32360deaca2SLinJiawei  val isFp = Bool()
32460deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
3255844fcf0SLinJiawei}
3265844fcf0SLinJiawei
327e402d94eSWilliam Wangclass DebugBundle extends XSBundle {
32872235fa4SWilliam Wang  val isMMIO = Bool()
3298635f18fSwangkaifan  val isPerfCnt = Bool()
330e402d94eSWilliam Wang}
3315844fcf0SLinJiawei
3325844fcf0SLinJiaweiclass ExuInput extends XSBundle {
3335844fcf0SLinJiawei  val uop = new MicroOp
3349684eb4fSLinJiawei  val src1, src2, src3 = UInt((XLEN + 1).W)
3355844fcf0SLinJiawei}
3365844fcf0SLinJiawei
3375844fcf0SLinJiaweiclass ExuOutput extends XSBundle {
3385844fcf0SLinJiawei  val uop = new MicroOp
3399684eb4fSLinJiawei  val data = UInt((XLEN + 1).W)
3407f1506e3SLinJiawei  val fflags = UInt(5.W)
34197cfa7f8SLinJiawei  val redirectValid = Bool()
34297cfa7f8SLinJiawei  val redirect = new Redirect
343e402d94eSWilliam Wang  val debug = new DebugBundle
3445844fcf0SLinJiawei}
3455844fcf0SLinJiawei
34635bfeecbSYinan Xuclass ExternalInterruptIO extends XSBundle {
34735bfeecbSYinan Xu  val mtip = Input(Bool())
34835bfeecbSYinan Xu  val msip = Input(Bool())
34935bfeecbSYinan Xu  val meip = Input(Bool())
3505844fcf0SLinJiawei}
3515844fcf0SLinJiawei
35235bfeecbSYinan Xuclass CSRSpecialIO extends XSBundle {
35335bfeecbSYinan Xu  val exception = Flipped(ValidIO(new MicroOp))
3543fa7b737SYinan Xu  val isInterrupt = Input(Bool())
35535bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
35635bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
35735bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
35835bfeecbSYinan Xu  val interrupt = Output(Bool())
35935bfeecbSYinan Xu}
36035bfeecbSYinan Xu
361fe6452fcSYinan Xuclass RoqCommitInfo extends XSBundle {
362fe6452fcSYinan Xu  val ldest = UInt(5.W)
363fe6452fcSYinan Xu  val rfWen = Bool()
364fe6452fcSYinan Xu  val fpWen = Bool()
365a1fd7de4SLinJiawei  val wflags = Bool()
366fe6452fcSYinan Xu  val commitType = CommitType()
367fe6452fcSYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
368fe6452fcSYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
369884dbb3bSLinJiawei  val ftqIdx = new FtqPtr
370884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
3715844fcf0SLinJiawei
3729ecac1e8SYinan Xu  // these should be optimized for synthesis verilog
3739ecac1e8SYinan Xu  val pc = UInt(VAddrBits.W)
374fe6452fcSYinan Xu}
3755844fcf0SLinJiawei
37621e7a6c5SYinan Xuclass RoqCommitIO extends XSBundle {
37721e7a6c5SYinan Xu  val isWalk = Output(Bool())
37821e7a6c5SYinan Xu  val valid = Vec(CommitWidth, Output(Bool()))
379fe6452fcSYinan Xu  val info = Vec(CommitWidth, Output(new RoqCommitInfo))
38021e7a6c5SYinan Xu
38121e7a6c5SYinan Xu  def hasWalkInstr = isWalk && valid.asUInt.orR
382fe211d16SLinJiawei
38321e7a6c5SYinan Xu  def hasCommitInstr = !isWalk && valid.asUInt.orR
3845844fcf0SLinJiawei}
3855844fcf0SLinJiawei
38642707b3bSYinan Xuclass TlbFeedback extends XSBundle {
38742707b3bSYinan Xu  val roqIdx = new RoqPtr
388037a131fSWilliam Wang  val hit = Bool()
389037a131fSWilliam Wang}
390037a131fSWilliam Wang
391e70e66e8SZhangZifeiclass RSFeedback extends TlbFeedback
392e70e66e8SZhangZifei
3935844fcf0SLinJiaweiclass FrontendToBackendIO extends XSBundle {
3945844fcf0SLinJiawei  // to backend end
3955844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
3968a5e9243SLinJiawei  val fetchInfo = DecoupledIO(new FtqEntry)
3975844fcf0SLinJiawei  // from backend
398c778d2afSLinJiawei  val redirect_cfiUpdate = Flipped(ValidIO(new Redirect))
399c778d2afSLinJiawei  val commit_cfiUpdate = Flipped(ValidIO(new FtqEntry))
400fc4776e4SLinJiawei  val ftqEnqPtr = Input(new FtqPtr)
401fc4776e4SLinJiawei  val ftqLeftOne = Input(Bool())
4021e3fad10SLinJiawei}
403fcff7e94SZhangZifei
404fcff7e94SZhangZifeiclass TlbCsrBundle extends XSBundle {
405fcff7e94SZhangZifei  val satp = new Bundle {
406fcff7e94SZhangZifei    val mode = UInt(4.W) // TODO: may change number to parameter
407fcff7e94SZhangZifei    val asid = UInt(16.W)
408fcff7e94SZhangZifei    val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
409fcff7e94SZhangZifei  }
410fcff7e94SZhangZifei  val priv = new Bundle {
411fcff7e94SZhangZifei    val mxr = Bool()
412fcff7e94SZhangZifei    val sum = Bool()
413fcff7e94SZhangZifei    val imode = UInt(2.W)
414fcff7e94SZhangZifei    val dmode = UInt(2.W)
415fcff7e94SZhangZifei  }
4168fc4e859SZhangZifei
4178fc4e859SZhangZifei  override def toPrintable: Printable = {
4188fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
4198fc4e859SZhangZifei      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
4208fc4e859SZhangZifei  }
421fcff7e94SZhangZifei}
422fcff7e94SZhangZifei
423fcff7e94SZhangZifeiclass SfenceBundle extends XSBundle {
424fcff7e94SZhangZifei  val valid = Bool()
425fcff7e94SZhangZifei  val bits = new Bundle {
426fcff7e94SZhangZifei    val rs1 = Bool()
427fcff7e94SZhangZifei    val rs2 = Bool()
428fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
429fcff7e94SZhangZifei  }
4308fc4e859SZhangZifei
4318fc4e859SZhangZifei  override def toPrintable: Printable = {
4328fc4e859SZhangZifei    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
4338fc4e859SZhangZifei  }
434fcff7e94SZhangZifei}
435a165bd69Swangkaifan
436a165bd69Swangkaifanclass DifftestBundle extends XSBundle {
437a165bd69Swangkaifan  val fromSbuffer = new Bundle() {
438a165bd69Swangkaifan    val sbufferResp = Output(Bool())
439a165bd69Swangkaifan    val sbufferAddr = Output(UInt(64.W))
440a165bd69Swangkaifan    val sbufferData = Output(Vec(64, UInt(8.W)))
441a165bd69Swangkaifan    val sbufferMask = Output(UInt(64.W))
442a165bd69Swangkaifan  }
443a165bd69Swangkaifan  val fromSQ = new Bundle() {
444a165bd69Swangkaifan    val storeCommit = Output(UInt(2.W))
445a165bd69Swangkaifan    val storeAddr   = Output(Vec(2, UInt(64.W)))
446a165bd69Swangkaifan    val storeData   = Output(Vec(2, UInt(64.W)))
447a165bd69Swangkaifan    val storeMask   = Output(Vec(2, UInt(8.W)))
448a165bd69Swangkaifan  }
449a165bd69Swangkaifan  val fromXSCore = new Bundle() {
450a165bd69Swangkaifan    val r = Output(Vec(64, UInt(XLEN.W)))
451a165bd69Swangkaifan  }
452a165bd69Swangkaifan  val fromCSR = new Bundle() {
453a165bd69Swangkaifan    val intrNO = Output(UInt(64.W))
454a165bd69Swangkaifan    val cause = Output(UInt(64.W))
455a165bd69Swangkaifan    val priviledgeMode = Output(UInt(2.W))
456a165bd69Swangkaifan    val mstatus = Output(UInt(64.W))
457a165bd69Swangkaifan    val sstatus = Output(UInt(64.W))
458a165bd69Swangkaifan    val mepc = Output(UInt(64.W))
459a165bd69Swangkaifan    val sepc = Output(UInt(64.W))
460a165bd69Swangkaifan    val mtval = Output(UInt(64.W))
461a165bd69Swangkaifan    val stval = Output(UInt(64.W))
462a165bd69Swangkaifan    val mtvec = Output(UInt(64.W))
463a165bd69Swangkaifan    val stvec = Output(UInt(64.W))
464a165bd69Swangkaifan    val mcause = Output(UInt(64.W))
465a165bd69Swangkaifan    val scause = Output(UInt(64.W))
466a165bd69Swangkaifan    val satp = Output(UInt(64.W))
467a165bd69Swangkaifan    val mip = Output(UInt(64.W))
468a165bd69Swangkaifan    val mie = Output(UInt(64.W))
469a165bd69Swangkaifan    val mscratch = Output(UInt(64.W))
470a165bd69Swangkaifan    val sscratch = Output(UInt(64.W))
471a165bd69Swangkaifan    val mideleg = Output(UInt(64.W))
472a165bd69Swangkaifan    val medeleg = Output(UInt(64.W))
473a165bd69Swangkaifan  }
474a165bd69Swangkaifan  val fromRoq = new Bundle() {
475a165bd69Swangkaifan    val commit = Output(UInt(32.W))
476a165bd69Swangkaifan    val thisPC = Output(UInt(XLEN.W))
477a165bd69Swangkaifan    val thisINST = Output(UInt(32.W))
478a165bd69Swangkaifan    val skip = Output(UInt(32.W))
479a165bd69Swangkaifan    val wen = Output(UInt(32.W))
480a165bd69Swangkaifan    val wdata = Output(Vec(CommitWidth, UInt(XLEN.W))) // set difftest width to 6
481a165bd69Swangkaifan    val wdst = Output(Vec(CommitWidth, UInt(32.W))) // set difftest width to 6
482a165bd69Swangkaifan    val wpc = Output(Vec(CommitWidth, UInt(XLEN.W))) // set difftest width to 6
483a165bd69Swangkaifan    val isRVC = Output(UInt(32.W))
484a165bd69Swangkaifan    val scFailed = Output(Bool())
485a165bd69Swangkaifan  }
486a165bd69Swangkaifan}
48754bc08adSwangkaifan
48854bc08adSwangkaifanclass TrapIO extends XSBundle {
48954bc08adSwangkaifan  val valid = Output(Bool())
49054bc08adSwangkaifan  val code = Output(UInt(3.W))
49154bc08adSwangkaifan  val pc = Output(UInt(VAddrBits.W))
49254bc08adSwangkaifan  val cycleCnt = Output(UInt(XLEN.W))
49354bc08adSwangkaifan  val instrCnt = Output(UInt(XLEN.W))
49454bc08adSwangkaifan}