xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 1592abd11eecf7bec0f1453ffe4a7617167f8ba9)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
171e3fad10SLinJiaweipackage xiangshan
181e3fad10SLinJiawei
1983ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
201e3fad10SLinJiaweiimport chisel3._
213b739f49SXuan Huimport chisel3.util.BitPat.bitPatToUInt
225844fcf0SLinJiaweiimport chisel3.util._
23c1b28b66STang Haojinimport chisel3.experimental.BundleLiterals._
243b739f49SXuan Huimport utility._
253b739f49SXuan Huimport utils._
26de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode}
27730cfbc0SXuan Huimport xiangshan.backend.fu.FuType
283b739f49SXuan Huimport xiangshan.backend.rob.RobPtr
293b739f49SXuan Huimport xiangshan.frontend._
305c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
31b1712600SZiyue Zhangimport xiangshan.backend.Bundles.{DynInst, UopIdx}
32b52d4755SXuan Huimport xiangshan.backend.fu.vector.Bundles.VType
33d7ac23a3SEaston Manimport xiangshan.frontend.{AllAheadFoldedHistoryOldestBits, AllFoldedHistories, BPUCtrl, CGHPtr, FtqPtr, FtqToCtrlIO}
34d7ac23a3SEaston Manimport xiangshan.frontend.{Ftq_Redirect_SRAMEntry, HasBPUParameter, IfuToBackendIO, PreDecodeInfo, RASPtr}
35b9e121dfShappy-lximport xiangshan.cache.HasDCacheParameters
363c02ee8fSwakafaimport utility._
37b0ae3ac4SLinJiawei
388891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
3988825c5cSYinan Xuimport chisel3.util.BitPat.bitPatToUInt
407720a376Sfdyimport chisel3.util.experimental.decode.EspressoMinimizer
4124519898SXuan Huimport xiangshan.backend.CtrlToFtqIO
42cc6e4cb5Schengguanghuiimport xiangshan.backend.fu.NewCSR.{Mcontrol6, Tdata1Bundle, Tdata2Bundle}
43b6982e83SLemoverimport xiangshan.backend.fu.PMPEntry
4414a6653fSLingrui98import xiangshan.frontend.Ftq_Redirect_SRAMEntry
45dd6c0695SLingrui98import xiangshan.frontend.AllFoldedHistories
4667402d75SLingrui98import xiangshan.frontend.AllAheadFoldedHistoryOldestBits
47c89b4642SGuokai Chenimport xiangshan.frontend.RASPtr
48780712aaSxiaofeibao-xjtuimport xiangshan.backend.rob.RobBundles.RobCommitEntryBundle
494907ec88Schengguanghuiimport xiangshan.backend.trace._
50881e32f5SZifei Zhangimport xiangshan.mem.prefetch.PrefetchCtrl
511e3fad10SLinJiawei
52627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
533803411bSzhanglinjuan  val valid = Bool()
5435fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
55fe211d16SLinJiawei
563803411bSzhanglinjuan}
573803411bSzhanglinjuan
58627c0a19Szhanglinjuanobject ValidUndirectioned {
59627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
60627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
613803411bSzhanglinjuan  }
623803411bSzhanglinjuan}
633803411bSzhanglinjuan
641b7adedcSWilliam Wangobject RSFeedbackType {
6568d13085SXuan Hu  val lrqFull         = 0.U(4.W)
6668d13085SXuan Hu  val tlbMiss         = 1.U(4.W)
6768d13085SXuan Hu  val mshrFull        = 2.U(4.W)
6868d13085SXuan Hu  val dataInvalid     = 3.U(4.W)
6968d13085SXuan Hu  val bankConflict    = 4.U(4.W)
7068d13085SXuan Hu  val ldVioCheckRedo  = 5.U(4.W)
71cee61068Sfdy  val feedbackInvalid = 7.U(4.W)
72cee61068Sfdy  val issueSuccess    = 8.U(4.W)
73ea0f92d8Sczw  val rfArbitFail     = 9.U(4.W)
74ea0f92d8Sczw  val fuIdle          = 10.U(4.W)
75ea0f92d8Sczw  val fuBusy          = 11.U(4.W)
76d54d930bSfdy  val fuUncertain     = 12.U(4.W)
77eb163ef0SHaojin Tang
7868d13085SXuan Hu  val allTypes = 16
79cee61068Sfdy  def apply() = UInt(4.W)
8061d88ec2SXuan Hu
8161d88ec2SXuan Hu  def isStageSuccess(feedbackType: UInt) = {
82cee61068Sfdy    feedbackType === issueSuccess
8361d88ec2SXuan Hu  }
84965c972cSXuan Hu
85965c972cSXuan Hu  def isBlocked(feedbackType: UInt) = {
86b536da76SXuan Hu    feedbackType === rfArbitFail || feedbackType === fuBusy || feedbackType >= lrqFull && feedbackType <= feedbackInvalid
87965c972cSXuan Hu  }
881b7adedcSWilliam Wang}
891b7adedcSWilliam Wang
902225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle {
91097c2688SLingrui98  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
92097c2688SLingrui98  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
93097c2688SLingrui98  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
9451b2a476Szoujr}
9551b2a476Szoujr
962225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
97f226232fSzhanglinjuan  // from backend
9869cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
99f226232fSzhanglinjuan  // frontend -> backend -> frontend
100f226232fSzhanglinjuan  val pd = new PreDecodeInfo
101c89b4642SGuokai Chen  val ssp = UInt(log2Up(RasSize).W)
102e3704ae5Smy-mayfly  val sctr = UInt(RasCtrSize.W)
103c89b4642SGuokai Chen  val TOSW = new RASPtr
104c89b4642SGuokai Chen  val TOSR = new RASPtr
105c89b4642SGuokai Chen  val NOS = new RASPtr
106c89b4642SGuokai Chen  val topAddr = UInt(VAddrBits.W)
107c2ad24ebSLingrui98  // val hist = new ShiftingGlobalHistory
108dd6c0695SLingrui98  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
10967402d75SLingrui98  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
11067402d75SLingrui98  val lastBrNumOH = UInt((numBr+1).W)
111b37e4b45SLingrui98  val ghr = UInt(UbtbGHRLength.W)
112c2ad24ebSLingrui98  val histPtr = new CGHPtr
113e7b046c5Szoujr  val specCnt = Vec(numBr, UInt(10.W))
114fe3a74fcSYinan Xu  // need pipeline update
115d2b20d1aSTang Haojin  val br_hit = Bool() // if in ftb entry
116d2b20d1aSTang Haojin  val jr_hit = Bool() // if in ftb entry
117d2b20d1aSTang Haojin  val sc_hit = Bool() // if used in ftb entry, invalid if !br_hit
1182e947747SLinJiawei  val predTaken = Bool()
119b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
1209a2e6b8aSLinJiawei  val taken = Bool()
121b2e6921eSLinJiawei  val isMisPred = Bool()
122d0527adfSzoujr  val shift = UInt((log2Ceil(numBr)+1).W)
123d0527adfSzoujr  val addIntoHist = Bool()
124c1b28b66STang Haojin  // raise exceptions from backend
125c1b28b66STang Haojin  val backendIGPF = Bool() // instruction guest page fault
126c1b28b66STang Haojin  val backendIPF = Bool() // instruction page fault
127c1b28b66STang Haojin  val backendIAF = Bool() // instruction access fault
12814a6653fSLingrui98
12914a6653fSLingrui98  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
130c2ad24ebSLingrui98    // this.hist := entry.ghist
131c2ad24ebSLingrui98    this.histPtr := entry.histPtr
132c89b4642SGuokai Chen    this.ssp := entry.ssp
133c89b4642SGuokai Chen    this.sctr := entry.sctr
134c89b4642SGuokai Chen    this.TOSW := entry.TOSW
135c89b4642SGuokai Chen    this.TOSR := entry.TOSR
136c89b4642SGuokai Chen    this.NOS := entry.NOS
137c89b4642SGuokai Chen    this.topAddr := entry.topAddr
13814a6653fSLingrui98    this
13914a6653fSLingrui98  }
140c1b28b66STang Haojin
141c1b28b66STang Haojin  def hasBackendFault = backendIGPF || backendIPF || backendIAF
142b2e6921eSLinJiawei}
143b2e6921eSLinJiawei
1445844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
145de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle {
1465844fcf0SLinJiawei  val instr = UInt(32.W)
1475844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
148de169c67SWilliam Wang  val foldpc = UInt(MemPredPCWidth.W)
149baf8def6SYinan Xu  val exceptionVec = ExceptionVec()
150fbdb359dSMuzi  val backendException = Bool()
1517e0f64b0SGuanghui Cheng  val trigger = TriggerAction()
152faf3cfa9SLinJiawei  val pd = new PreDecodeInfo
153cde9280dSLinJiawei  val pred_taken = Bool()
154c84054caSLinJiawei  val crossPageIPFFix = Bool()
155de169c67SWilliam Wang  val storeSetHit = Bool() // inst has been allocated an store set
156980c1bc3SWilliam Wang  val waitForRobIdx = new RobPtr // store set predicted previous store robIdx
157d1fe0262SWilliam Wang  // Load wait is needed
158d1fe0262SWilliam Wang  // load inst will not be executed until former store (predicted by mdp) addr calcuated
159d1fe0262SWilliam Wang  val loadWaitBit = Bool()
160d1fe0262SWilliam Wang  // If (loadWaitBit && loadWaitStrict), strict load wait is needed
161d1fe0262SWilliam Wang  // load inst will not be executed until ALL former store addr calcuated
162d1fe0262SWilliam Wang  val loadWaitStrict = Bool()
163de169c67SWilliam Wang  val ssid = UInt(SSIDWidth.W)
164884dbb3bSLinJiawei  val ftqPtr = new FtqPtr
165884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
166948e8159SEaston Man  val isLastInFtqEntry = Bool()
167*1592abd1SYan Xu  val debug_seqNum = InstSeqNum()
1685844fcf0SLinJiawei}
1695844fcf0SLinJiawei
17072951335SLi Qianruo
1712225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
172614d2bc6SHeiHuDie  val typeTagOut = UInt(2.W) // H S D
1732ce29ed6SLinJiawei  val wflags = Bool()
1742ce29ed6SLinJiawei  val typ = UInt(2.W)
1752ce29ed6SLinJiawei  val fmt = UInt(2.W)
176e6c6b64fSLinJiawei  val rm = UInt(3.W)
177579b9f28SLinJiawei}
178579b9f28SLinJiawei
1795844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1802225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle {
181248b9a04SYanqin Li  // val debug_globalID = UInt(XLEN.W)
182a7a8a6ccSHaojin Tang  val srcType = Vec(4, SrcType())
183ad5c9e6eSJunxiong Ji  val lsrc = Vec(4, UInt(LogicRegsWidth.W))
184ad5c9e6eSJunxiong Ji  val ldest = UInt(LogicRegsWidth.W)
1859a2e6b8aSLinJiawei  val fuType = FuType()
1869a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1879a2e6b8aSLinJiawei  val rfWen = Bool()
1889a2e6b8aSLinJiawei  val fpWen = Bool()
189deb6421eSHaojin Tang  val vecWen = Bool()
1909a2e6b8aSLinJiawei  val isXSTrap = Bool()
1912d366136SLinJiawei  val noSpecExec = Bool() // wait forward
1922d366136SLinJiawei  val blockBackward = Bool() // block backward
19345a56a29SZhangZifei  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
194e2695e90SzhanglyGit  val uopSplitType = UopSplitType()
195c2a8ae00SYikeZhou  val selImm = SelImm()
196780712aaSxiaofeibao-xjtu  val imm = UInt(32.W)
197a3edac52SYinan Xu  val commitType = CommitType()
198579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
199b1712600SZiyue Zhang  val uopIdx = UopIdx()
200aac4464eSYinan Xu  val isMove = Bool()
2011a0debc2Sczw  val vm = Bool()
202d4aca96cSlqre  val singleStep = Bool()
203c88c3a2aSYinan Xu  // This inst will flush all the pipe when it is the oldest inst in ROB,
204c88c3a2aSYinan Xu  // then replay from this inst itself
205c88c3a2aSYinan Xu  val replayInst = Bool()
20689cc69c1STang Haojin  val canRobCompress = Bool()
207be25371aSYikeZhou
20857a10886SXuan Hu  private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen,
20989cc69c1STang Haojin    isXSTrap, noSpecExec, blockBackward, flushPipe, canRobCompress, uopSplitType, selImm)
21088825c5cSYinan Xu
21188825c5cSYinan Xu  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = {
2127720a376Sfdy    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table, EspressoMinimizer)
21388825c5cSYinan Xu    allSignals zip decoder foreach { case (s, d) => s := d }
2144d24c305SYikeZhou    commitType := DontCare
215be25371aSYikeZhou    this
216be25371aSYikeZhou  }
21788825c5cSYinan Xu
21888825c5cSYinan Xu  def decode(bit: List[BitPat]): CtrlSignals = {
21988825c5cSYinan Xu    allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d }
22088825c5cSYinan Xu    this
22188825c5cSYinan Xu  }
222b6900d94SYinan Xu
2233b739f49SXuan Hu  def isWFI: Bool = fuType === FuType.csr.U && fuOpType === CSROpType.wfi
224f025d715SYinan Xu  def isSoftPrefetch: Bool = {
2253b739f49SXuan Hu    fuType === FuType.alu.U && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U
226f025d715SYinan Xu  }
2276112d994Sxiaofeibao  def needWriteRf: Bool = rfWen || fpWen || vecWen
228d0de7e4aSpeixiaokun  def isHyperInst: Bool = {
229e25e4d90SXuan Hu    fuType === FuType.ldu.U && LSUOpType.isHlv(fuOpType) || fuType === FuType.stu.U && LSUOpType.isHsv(fuOpType)
230d0de7e4aSpeixiaokun  }
2315844fcf0SLinJiawei}
2325844fcf0SLinJiawei
2332225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle {
2345844fcf0SLinJiawei  val cf = new CtrlFlow
2355844fcf0SLinJiawei  val ctrl = new CtrlSignals
2365844fcf0SLinJiawei}
2375844fcf0SLinJiawei
2382225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle {
2398b8e745dSYikeZhou  val eliminatedMove = Bool()
2408744445eSMaxpicca-Li  // val fetchTime = UInt(XLEN.W)
241ebb8ebf8SYinan Xu  val renameTime = UInt(XLEN.W)
242ebb8ebf8SYinan Xu  val dispatchTime = UInt(XLEN.W)
243ebb8ebf8SYinan Xu  val enqRsTime = UInt(XLEN.W)
244ebb8ebf8SYinan Xu  val selectTime = UInt(XLEN.W)
245ebb8ebf8SYinan Xu  val issueTime = UInt(XLEN.W)
246ebb8ebf8SYinan Xu  val writebackTime = UInt(XLEN.W)
2478744445eSMaxpicca-Li  // val commitTime = UInt(XLEN.W)
2488744445eSMaxpicca-Li  val runahead_checkpoint_id = UInt(XLEN.W)
2498744445eSMaxpicca-Li  val tlbFirstReqTime = UInt(XLEN.W)
2508744445eSMaxpicca-Li  val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit)
251ba4100caSYinan Xu}
252ba4100caSYinan Xu
25348d1472eSWilliam Wang// Separate LSQ
2542225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle {
255915c0dd4SYinan Xu  val lqIdx = new LqPtr
2565c1ae31bSYinan Xu  val sqIdx = new SqPtr
25724726fbfSWilliam Wang}
25824726fbfSWilliam Wang
259b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
2602225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl {
261a7a8a6ccSHaojin Tang  val srcState = Vec(4, SrcState())
262a7a8a6ccSHaojin Tang  val psrc = Vec(4, UInt(PhyRegIdxWidth.W))
26320e31bd1SYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
2649aca92b9SYinan Xu  val robIdx = new RobPtr
26589cc69c1STang Haojin  val instrSize = UInt(log2Ceil(RenameWidth + 1).W)
266fe6452fcSYinan Xu  val lqIdx = new LqPtr
267fe6452fcSYinan Xu  val sqIdx = new SqPtr
2688b8e745dSYikeZhou  val eliminatedMove = Bool()
269fa7f2c26STang Haojin  val snapshot = Bool()
2707cef916fSYinan Xu  val debugInfo = new PerfDebugInfo
2719d4e1137SYinan Xu  def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = {
272bcce877bSYinan Xu    val stateReady = srcState(index) === SrcState.rdy || ignoreState.B
273bcce877bSYinan Xu    val readReg = if (isFp) {
274bcce877bSYinan Xu      ctrl.srcType(index) === SrcType.fp
275bcce877bSYinan Xu    } else {
276bcce877bSYinan Xu      ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U
277a338f247SYinan Xu    }
278bcce877bSYinan Xu    readReg && stateReady
279a338f247SYinan Xu  }
2805c7674feSYinan Xu  def srcIsReady: Vec[Bool] = {
281c9ebdf90SYinan Xu    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy })
2825c7674feSYinan Xu  }
2836ab6918fSYinan Xu  def clearExceptions(
2846ab6918fSYinan Xu    exceptionBits: Seq[Int] = Seq(),
2856ab6918fSYinan Xu    flushPipe: Boolean = false,
2866ab6918fSYinan Xu    replayInst: Boolean = false
2876ab6918fSYinan Xu  ): MicroOp = {
2886ab6918fSYinan Xu    cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B)
2896ab6918fSYinan Xu    if (!flushPipe) { ctrl.flushPipe := false.B }
2906ab6918fSYinan Xu    if (!replayInst) { ctrl.replayInst := false.B }
291c88c3a2aSYinan Xu    this
292c88c3a2aSYinan Xu  }
2935844fcf0SLinJiawei}
2945844fcf0SLinJiawei
29546f74b57SHaojin Tangclass XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle {
296dfb4c5dcSXuan Hu  val uop = new DynInst
29746f74b57SHaojin Tang}
29846f74b57SHaojin Tang
29946f74b57SHaojin Tangclass MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp {
300de169c67SWilliam Wang  val flag = UInt(1.W)
3011e3fad10SLinJiawei}
302de169c67SWilliam Wang
3032225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle {
30414a67055Ssfencevma  val isRVC = Bool()
3059aca92b9SYinan Xu  val robIdx = new RobPtr
30636d7aed5SLinJiawei  val ftqIdx = new FtqPtr
30736d7aed5SLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
308bfb958a3SYinan Xu  val level = RedirectLevel()
309bfb958a3SYinan Xu  val interrupt = Bool()
310c778d2afSLinJiawei  val cfiUpdate = new CfiUpdateInfo
311c1b28b66STang Haojin  val fullTarget = UInt(XLEN.W) // only used for tval storage in backend
312bfb958a3SYinan Xu
313de169c67SWilliam Wang  val stFtqIdx = new FtqPtr // for load violation predict
314de169c67SWilliam Wang  val stFtqOffset = UInt(log2Up(PredictWidth).W)
315fe211d16SLinJiawei
31620edb3f7SWilliam Wang  val debug_runahead_checkpoint_id = UInt(64.W)
317d2b20d1aSTang Haojin  val debugIsCtrl = Bool()
318d2b20d1aSTang Haojin  val debugIsMemVio = Bool()
31920edb3f7SWilliam Wang
320bfb958a3SYinan Xu  def flushItself() = RedirectLevel.flushItself(level)
321a25b1bceSLinJiawei}
322a25b1bceSLinJiawei
32354c6d89dSxiaofeibao-xjtuobject Redirect extends HasCircularQueuePtrHelper {
32454c6d89dSxiaofeibao-xjtu
32554c6d89dSxiaofeibao-xjtu  def selectOldestRedirect(xs: Seq[Valid[Redirect]]): Vec[Bool] = {
32654c6d89dSxiaofeibao-xjtu    val compareVec = (0 until xs.length).map(i => (0 until i).map(j => isAfter(xs(j).bits.robIdx, xs(i).bits.robIdx)))
32754c6d89dSxiaofeibao-xjtu    val resultOnehot = VecInit((0 until xs.length).map(i => Cat((0 until xs.length).map(j =>
32854c6d89dSxiaofeibao-xjtu      (if (j < i) !xs(j).valid || compareVec(i)(j)
32954c6d89dSxiaofeibao-xjtu      else if (j == i) xs(i).valid
33054c6d89dSxiaofeibao-xjtu      else !xs(j).valid || !compareVec(j)(i))
33154c6d89dSxiaofeibao-xjtu    )).andR))
33254c6d89dSxiaofeibao-xjtu    resultOnehot
33354c6d89dSxiaofeibao-xjtu  }
33454c6d89dSxiaofeibao-xjtu}
33554c6d89dSxiaofeibao-xjtu
3362b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle {
33760deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
33860deaca2SLinJiawei  val isInt = Bool()
33960deaca2SLinJiawei  val isFp = Bool()
34060f0c5aeSxiaofeibao  val isVec = Bool()
34129aa55c1Sxiaofeibao  val isV0 = Bool()
34229aa55c1Sxiaofeibao  val isVl = Bool()
34360deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
3445844fcf0SLinJiawei}
3455844fcf0SLinJiawei
3462225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle {
34772235fa4SWilliam Wang  val isMMIO = Bool()
348bb76fc1bSYanqin Li  val isNC = Bool()
3498635f18fSwangkaifan  val isPerfCnt = Bool()
3508b91a337SWilliam Wang  val paddr = UInt(PAddrBits.W)
35172951335SLi Qianruo  val vaddr = UInt(VAddrBits.W)
352bb76fc1bSYanqin Li
353bb76fc1bSYanqin Li  def isSkipDiff: Bool = isMMIO || isNC || isPerfCnt
3548744445eSMaxpicca-Li  /* add L/S inst info in EXU */
3558744445eSMaxpicca-Li  // val L1toL2TlbLatency = UInt(XLEN.W)
3568744445eSMaxpicca-Li  // val levelTlbHit = UInt(2.W)
357e402d94eSWilliam Wang}
3585844fcf0SLinJiawei
359ac17908cSHuijin Liclass SoftIfetchPrefetchBundle(implicit p: Parameters) extends XSBundle {
360ac17908cSHuijin Li  val vaddr = UInt(VAddrBits.W)
361ac17908cSHuijin Li}
362ac17908cSHuijin Li
3632225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
36435bfeecbSYinan Xu  val mtip = Input(Bool())
36535bfeecbSYinan Xu  val msip = Input(Bool())
36635bfeecbSYinan Xu  val meip = Input(Bool())
367b3d79b37SYinan Xu  val seip = Input(Bool())
368d4aca96cSlqre  val debug = Input(Bool())
369c2a2229dSlewislzh  val nmi = new NonmaskableInterruptIO()
370c2a2229dSlewislzh}
371c2a2229dSlewislzh
3728bc90631SZehao Liuclass NonmaskableInterruptIO() extends Bundle {
3738bc90631SZehao Liu  val nmi_31 = Input(Bool())
3748bc90631SZehao Liu  val nmi_43 = Input(Bool())
375c2a2229dSlewislzh  // reserve for other nmi type
3765844fcf0SLinJiawei}
3775844fcf0SLinJiawei
3782225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle {
3793b739f49SXuan Hu  val exception = Flipped(ValidIO(new DynInst))
3803fa7b737SYinan Xu  val isInterrupt = Input(Bool())
38135bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
38235bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
38335bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
38435bfeecbSYinan Xu  val interrupt = Output(Bool())
38535bfeecbSYinan Xu}
38635bfeecbSYinan Xu
387a8db15d8Sfdyclass DiffCommitIO(implicit p: Parameters) extends XSBundle {
388a8db15d8Sfdy  val isCommit = Bool()
389a8db15d8Sfdy  val commitValid = Vec(CommitWidth * MaxUopSize, Bool())
390a8db15d8Sfdy
3916b102a39SHaojin Tang  val info = Vec(CommitWidth * MaxUopSize, new RabCommitInfo)
392a8db15d8Sfdy}
393a8db15d8Sfdy
394780712aaSxiaofeibao-xjtuclass RobCommitInfo(implicit p: Parameters) extends RobCommitEntryBundle
3955844fcf0SLinJiawei
3969aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle {
397ccfddc82SHaojin Tang  val isCommit = Bool()
398ccfddc82SHaojin Tang  val commitValid = Vec(CommitWidth, Bool())
3996474c47fSYinan Xu
400ccfddc82SHaojin Tang  val isWalk = Bool()
401c51eab43SYinan Xu  // valid bits optimized for walk
402ccfddc82SHaojin Tang  val walkValid = Vec(CommitWidth, Bool())
4036474c47fSYinan Xu
404ccfddc82SHaojin Tang  val info = Vec(CommitWidth, new RobCommitInfo)
405fa7f2c26STang Haojin  val robIdx = Vec(CommitWidth, new RobPtr)
40621e7a6c5SYinan Xu
4076474c47fSYinan Xu  def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR
4086474c47fSYinan Xu  def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR
4095844fcf0SLinJiawei}
4105844fcf0SLinJiawei
4116b102a39SHaojin Tangclass RabCommitInfo(implicit p: Parameters) extends XSBundle {
412ad5c9e6eSJunxiong Ji  val ldest = UInt(LogicRegsWidth.W)
4136b102a39SHaojin Tang  val pdest = UInt(PhyRegIdxWidth.W)
4146b102a39SHaojin Tang  val rfWen = Bool()
4156b102a39SHaojin Tang  val fpWen = Bool()
4166b102a39SHaojin Tang  val vecWen = Bool()
417368cbcecSxiaofeibao  val v0Wen = Bool()
418368cbcecSxiaofeibao  val vlWen = Bool()
4196b102a39SHaojin Tang  val isMove = Bool()
4206b102a39SHaojin Tang}
4216b102a39SHaojin Tang
4226b102a39SHaojin Tangclass RabCommitIO(implicit p: Parameters) extends XSBundle {
4236b102a39SHaojin Tang  val isCommit = Bool()
424780712aaSxiaofeibao-xjtu  val commitValid = Vec(RabCommitWidth, Bool())
4256b102a39SHaojin Tang
4266b102a39SHaojin Tang  val isWalk = Bool()
4276b102a39SHaojin Tang  // valid bits optimized for walk
428780712aaSxiaofeibao-xjtu  val walkValid = Vec(RabCommitWidth, Bool())
4296b102a39SHaojin Tang
430780712aaSxiaofeibao-xjtu  val info = Vec(RabCommitWidth, new RabCommitInfo)
431780712aaSxiaofeibao-xjtu  val robIdx = OptionWrapper(!env.FPGAPlatform, Vec(RabCommitWidth, new RobPtr))
4326b102a39SHaojin Tang
4336b102a39SHaojin Tang  def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR
4346b102a39SHaojin Tang  def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR
4356b102a39SHaojin Tang}
4366b102a39SHaojin Tang
437fa7f2c26STang Haojinclass SnapshotPort(implicit p: Parameters) extends XSBundle {
438fa7f2c26STang Haojin  val snptEnq = Bool()
439fa7f2c26STang Haojin  val snptDeq = Bool()
440fa7f2c26STang Haojin  val useSnpt = Bool()
441fa7f2c26STang Haojin  val snptSelect = UInt(log2Ceil(RenameSnapshotNum).W)
442c4b56310SHaojin Tang  val flushVec = Vec(RenameSnapshotNum, Bool())
443fa7f2c26STang Haojin}
444fa7f2c26STang Haojin
445fd490615Sweiding liuclass RSFeedback(isVector: Boolean = false)(implicit p: Parameters) extends XSBundle {
4465db4956bSzhanglyGit  val robIdx = new RobPtr
447037a131fSWilliam Wang  val hit = Bool()
44862f57a35SLemover  val flushState = Bool()
4491b7adedcSWilliam Wang  val sourceType = RSFeedbackType()
450c7160cd3SWilliam Wang  val dataInvalidSqIdx = new SqPtr
45138f78b5dSxiaofeibao-xjtu  val sqIdx = new SqPtr
45228ac1c16Sxiaofeibao-xjtu  val lqIdx = new LqPtr
453037a131fSWilliam Wang}
454037a131fSWilliam Wang
455fd490615Sweiding liuclass MemRSFeedbackIO(isVector: Boolean = false)(implicit p: Parameters) extends XSBundle {
456d87b76aaSWilliam Wang  // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO
457d87b76aaSWilliam Wang  // for instance: MemRSFeedbackIO()(updateP)
458fd490615Sweiding liu  val feedbackSlow = ValidIO(new RSFeedback(isVector)) // dcache miss queue full, dtlb miss
459fd490615Sweiding liu  val feedbackFast = ValidIO(new RSFeedback(isVector)) // bank conflict
460d87b76aaSWilliam Wang}
461d87b76aaSWilliam Wang
4620f55a0d3SHaojin Tangclass LoadCancelIO(implicit p: Parameters) extends XSBundle {
463596af5d2SHaojin Tang  val ld1Cancel = Bool()
464596af5d2SHaojin Tang  val ld2Cancel = Bool()
4650f55a0d3SHaojin Tang}
4660f55a0d3SHaojin Tang
467f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
4685844fcf0SLinJiawei  // to backend end
4695844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
470d2b20d1aSTang Haojin  val stallReason = new StallReasonIO(DecodeWidth)
471f06ca0bfSLingrui98  val fromFtq = new FtqToCtrlIO
472d7ac23a3SEaston Man  val fromIfu = new IfuToBackendIO
4735844fcf0SLinJiawei  // from backend
474f06ca0bfSLingrui98  val toFtq = Flipped(new CtrlToFtqIO)
47505cc2a4eSXuan Hu  val canAccept = Input(Bool())
4761e3fad10SLinJiawei}
477fcff7e94SZhangZifei
478f1fe8698SLemoverclass SatpStruct(implicit p: Parameters) extends XSBundle {
47945f497a4Shappy-lx  val mode = UInt(4.W)
48045f497a4Shappy-lx  val asid = UInt(16.W)
48145f497a4Shappy-lx  val ppn  = UInt(44.W)
48245f497a4Shappy-lx}
48345f497a4Shappy-lx
484f1fe8698SLemoverclass TlbSatpBundle(implicit p: Parameters) extends SatpStruct {
48545f497a4Shappy-lx  val changed = Bool()
48645f497a4Shappy-lx
4879a4a4f17SXuan Hu  // Todo: remove it
48845f497a4Shappy-lx  def apply(satp_value: UInt): Unit = {
48945f497a4Shappy-lx    require(satp_value.getWidth == XLEN)
49045f497a4Shappy-lx    val sa = satp_value.asTypeOf(new SatpStruct)
49145f497a4Shappy-lx    mode := sa.mode
49245f497a4Shappy-lx    asid := sa.asid
49397929664SXiaokun-Pei    ppn := sa.ppn
49445f497a4Shappy-lx    changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush
49545f497a4Shappy-lx  }
496fcff7e94SZhangZifei}
497f1fe8698SLemover
49897929664SXiaokun-Peiclass HgatpStruct(implicit p: Parameters) extends XSBundle {
49997929664SXiaokun-Pei  val mode = UInt(4.W)
50097929664SXiaokun-Pei  val vmid = UInt(16.W)
50197929664SXiaokun-Pei  val ppn  = UInt(44.W)
50297929664SXiaokun-Pei}
50397929664SXiaokun-Pei
50497929664SXiaokun-Peiclass TlbHgatpBundle(implicit p: Parameters) extends HgatpStruct {
50597929664SXiaokun-Pei  val changed = Bool()
50697929664SXiaokun-Pei
50797929664SXiaokun-Pei  // Todo: remove it
50897929664SXiaokun-Pei  def apply(hgatp_value: UInt): Unit = {
50997929664SXiaokun-Pei    require(hgatp_value.getWidth == XLEN)
51097929664SXiaokun-Pei    val sa = hgatp_value.asTypeOf(new HgatpStruct)
51197929664SXiaokun-Pei    mode := sa.mode
51297929664SXiaokun-Pei    vmid := sa.vmid
51397929664SXiaokun-Pei    ppn := sa.ppn
51497929664SXiaokun-Pei    changed := DataChanged(sa.vmid) // when ppn is changed, software need do the flush
51597929664SXiaokun-Pei  }
51697929664SXiaokun-Pei}
51797929664SXiaokun-Pei
5188882eb68SXin Tian// add mbmc csr
5198882eb68SXin Tianclass MbmcStruct(implicit p: Parameters) extends XSBundle {
5208882eb68SXin Tian  val BME = UInt(1.W)
5218882eb68SXin Tian  val CMODE = UInt(1.W)
5228882eb68SXin Tian  val BCLEAR = UInt(1.W)
5238882eb68SXin Tian  val BMA = UInt(58.W)
5248882eb68SXin Tian}
5258882eb68SXin Tian
5268882eb68SXin Tianclass TlbMbmcBundle(implicit p: Parameters) extends MbmcStruct {
5278882eb68SXin Tian  def apply(mbmc_value: UInt): Unit = {
5288882eb68SXin Tian    require(mbmc_value.getWidth == XLEN)
5298882eb68SXin Tian    val mc = mbmc_value.asTypeOf(new MbmcStruct)
5308882eb68SXin Tian    BME := mc.BME
5318882eb68SXin Tian    CMODE := mc.CMODE
5328882eb68SXin Tian    BCLEAR := mc.BCLEAR
5338882eb68SXin Tian    BMA := mc.BMA
5348882eb68SXin Tian  }
5358882eb68SXin Tian}
5368882eb68SXin Tian
537f1fe8698SLemoverclass TlbCsrBundle(implicit p: Parameters) extends XSBundle {
538f1fe8698SLemover  val satp = new TlbSatpBundle()
539d0de7e4aSpeixiaokun  val vsatp = new TlbSatpBundle()
54097929664SXiaokun-Pei  val hgatp = new TlbHgatpBundle()
5418882eb68SXin Tian  val mbmc = new TlbMbmcBundle()
542fcff7e94SZhangZifei  val priv = new Bundle {
543fcff7e94SZhangZifei    val mxr = Bool()
544fcff7e94SZhangZifei    val sum = Bool()
545d0de7e4aSpeixiaokun    val vmxr = Bool()
546d0de7e4aSpeixiaokun    val vsum = Bool()
547d0de7e4aSpeixiaokun    val virt = Bool()
548d0de7e4aSpeixiaokun    val spvp = UInt(1.W)
549fcff7e94SZhangZifei    val imode = UInt(2.W)
550fcff7e94SZhangZifei    val dmode = UInt(2.W)
551fcff7e94SZhangZifei  }
552dd286b6aSYanqin Li  val mPBMTE = Bool()
553dd286b6aSYanqin Li  val hPBMTE = Bool()
554189833a1SHaoyuan Feng  val pmm = new Bundle {
555189833a1SHaoyuan Feng    val mseccfg = UInt(2.W)
556189833a1SHaoyuan Feng    val menvcfg = UInt(2.W)
557189833a1SHaoyuan Feng    val henvcfg = UInt(2.W)
558189833a1SHaoyuan Feng    val hstatus = UInt(2.W)
559189833a1SHaoyuan Feng    val senvcfg = UInt(2.W)
560189833a1SHaoyuan Feng  }
5618fc4e859SZhangZifei
5628fc4e859SZhangZifei  override def toPrintable: Printable = {
5638fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
5648fc4e859SZhangZifei      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
5658fc4e859SZhangZifei  }
566fcff7e94SZhangZifei}
567fcff7e94SZhangZifei
5682225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle {
569fcff7e94SZhangZifei  val valid = Bool()
570fcff7e94SZhangZifei  val bits = new Bundle {
571fcff7e94SZhangZifei    val rs1 = Bool()
572fcff7e94SZhangZifei    val rs2 = Bool()
573fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
574d0de7e4aSpeixiaokun    val id = UInt((AsidLength).W) // asid or vmid
575f1fe8698SLemover    val flushPipe = Bool()
576d0de7e4aSpeixiaokun    val hv = Bool()
577d0de7e4aSpeixiaokun    val hg = Bool()
578fcff7e94SZhangZifei  }
5798fc4e859SZhangZifei
5808fc4e859SZhangZifei  override def toPrintable: Printable = {
581f1fe8698SLemover    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}"
5828fc4e859SZhangZifei  }
583fcff7e94SZhangZifei}
584a165bd69Swangkaifan
585de169c67SWilliam Wang// Bundle for load violation predictor updating
586de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
5872b8b2e7aSWilliam Wang  val valid = Bool()
588de169c67SWilliam Wang
589de169c67SWilliam Wang  // wait table update
590de169c67SWilliam Wang  val waddr = UInt(MemPredPCWidth.W)
5912b8b2e7aSWilliam Wang  val wdata = Bool() // true.B by default
592de169c67SWilliam Wang
593de169c67SWilliam Wang  // store set update
594de169c67SWilliam Wang  // by default, ldpc/stpc should be xor folded
595de169c67SWilliam Wang  val ldpc = UInt(MemPredPCWidth.W)
596de169c67SWilliam Wang  val stpc = UInt(MemPredPCWidth.W)
5972b8b2e7aSWilliam Wang}
5982b8b2e7aSWilliam Wang
5992225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
6002b8b2e7aSWilliam Wang  // Prefetcher
601881e32f5SZifei Zhang  val pf_ctrl = Output(new PrefetchCtrl)
602f3f22d72SYinan Xu  // Load violation predictor
6032b8b2e7aSWilliam Wang  val lvpred_disable = Output(Bool())
6042b8b2e7aSWilliam Wang  val no_spec_load = Output(Bool())
605c7160cd3SWilliam Wang  val storeset_wait_store = Output(Bool())
606c7160cd3SWilliam Wang  val storeset_no_fast_wakeup = Output(Bool())
607c7160cd3SWilliam Wang  val lvpred_timeout = Output(UInt(5.W))
608f3f22d72SYinan Xu  // Branch predictor
6092b8b2e7aSWilliam Wang  val bp_ctrl = Output(new BPUCtrl)
610f3f22d72SYinan Xu  // Memory Block
611f3f22d72SYinan Xu  val sbuffer_threshold = Output(UInt(4.W))
612d10a581eSWilliam Wang  val ldld_vio_check_enable = Output(Bool())
613d10a581eSWilliam Wang  val soft_prefetch_enable = Output(Bool())
614a4e57ea3SLi Qianruo  val cache_error_enable = Output(Bool())
61537225120Ssfencevma  val uncache_write_outstanding_enable = Output(Bool())
61641d8d239Shappy-lx  val hd_misalign_st_enable = Output(Bool())
61741d8d239Shappy-lx  val hd_misalign_ld_enable = Output(Bool())
618b7a63495SNewPaulWalker  val power_down_enable = Output(Bool())
619b7a63495SNewPaulWalker  val flush_l2_enable = Output(Bool())
620aac4464eSYinan Xu  // Rename
6215b47c58cSYinan Xu  val fusion_enable = Output(Bool())
6225b47c58cSYinan Xu  val wfi_enable = Output(Bool())
623af2f7849Shappy-lx
624b6982e83SLemover  // distribute csr write signal
625b6982e83SLemover  val distribute_csr = new DistributedCSRIO()
6265b0f0029SXuan Hu  // TODO: move it to a new bundle, since single step is not a custom control signal
627ddb65c47SLi Qianruo  val singlestep = Output(Bool())
62872951335SLi Qianruo  val frontend_trigger = new FrontendTdataDistributeIO()
62972951335SLi Qianruo  val mem_trigger = new MemTdataDistributeIO()
630d0de7e4aSpeixiaokun  // Virtualization Mode
631d0de7e4aSpeixiaokun  val virtMode = Output(Bool())
63271b6c42eSxu_zh  // xstatus.fs field is off
63371b6c42eSxu_zh  val fsIsOff = Output(Bool())
634b6982e83SLemover}
635b6982e83SLemover
636b6982e83SLemoverclass DistributedCSRIO(implicit p: Parameters) extends XSBundle {
6371c746d3aScui fliter  // CSR has been written by csr inst, copies of csr should be updated
638b6982e83SLemover  val w = ValidIO(new Bundle {
639b6982e83SLemover    val addr = Output(UInt(12.W))
640b6982e83SLemover    val data = Output(UInt(XLEN.W))
641b6982e83SLemover  })
6422b8b2e7aSWilliam Wang}
643e19f7967SWilliam Wang
644e19f7967SWilliam Wangclass DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle {
645e19f7967SWilliam Wang  // Request csr to be updated
646e19f7967SWilliam Wang  //
647e19f7967SWilliam Wang  // Note that this request will ONLY update CSR Module it self,
648e19f7967SWilliam Wang  // copies of csr will NOT be updated, use it with care!
649e19f7967SWilliam Wang  //
650e19f7967SWilliam Wang  // For each cycle, no more than 1 DistributedCSRUpdateReq is valid
651e19f7967SWilliam Wang  val w = ValidIO(new Bundle {
652e19f7967SWilliam Wang    val addr = Output(UInt(12.W))
653e19f7967SWilliam Wang    val data = Output(UInt(XLEN.W))
654e19f7967SWilliam Wang  })
655e19f7967SWilliam Wang  def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = {
656e19f7967SWilliam Wang    when(valid){
657e19f7967SWilliam Wang      w.bits.addr := addr
658e19f7967SWilliam Wang      w.bits.data := data
659e19f7967SWilliam Wang    }
660e19f7967SWilliam Wang    println("Distributed CSR update req registered for " + src_description)
661e19f7967SWilliam Wang  }
662e19f7967SWilliam Wang}
66372951335SLi Qianruo
664c1b28b66STang Haojinclass AddrTransType(implicit p: Parameters) extends XSBundle {
665c1b28b66STang Haojin  val bare, sv39, sv39x4, sv48, sv48x4 = Bool()
666c1b28b66STang Haojin
667c1b28b66STang Haojin  def checkAccessFault(target: UInt): Bool = bare && target(XLEN - 1, PAddrBits).orR
668c1b28b66STang Haojin  def checkPageFault(target: UInt): Bool =
669c1b28b66STang Haojin    sv39 && target(XLEN - 1, 39) =/= VecInit.fill(XLEN - 39)(target(38)).asUInt ||
670c1b28b66STang Haojin    sv48 && target(XLEN - 1, 48) =/= VecInit.fill(XLEN - 48)(target(47)).asUInt
671c1b28b66STang Haojin  def checkGuestPageFault(target: UInt): Bool =
672c1b28b66STang Haojin    sv39x4 && target(XLEN - 1, 41).orR || sv48x4 && target(XLEN - 1, 50).orR
673c1b28b66STang Haojin}
674c1b28b66STang Haojin
675c1b28b66STang Haojinobject AddrTransType {
676c1b28b66STang Haojin  def apply(bare: Boolean = false,
677c1b28b66STang Haojin            sv39: Boolean = false,
678c1b28b66STang Haojin            sv39x4: Boolean = false,
679c1b28b66STang Haojin            sv48: Boolean = false,
680c1b28b66STang Haojin            sv48x4: Boolean = false)(implicit p: Parameters): AddrTransType =
681c1b28b66STang Haojin    (new AddrTransType).Lit(_.bare -> bare.B,
682c1b28b66STang Haojin                            _.sv39 -> sv39.B,
683c1b28b66STang Haojin                            _.sv39x4 -> sv39x4.B,
684c1b28b66STang Haojin                            _.sv48 -> sv48.B,
685c1b28b66STang Haojin                            _.sv48x4 -> sv48x4.B)
686c1b28b66STang Haojin
687c1b28b66STang Haojin  def apply(bare: Bool, sv39: Bool, sv39x4: Bool, sv48: Bool, sv48x4: Bool)(implicit p: Parameters): AddrTransType = {
688c1b28b66STang Haojin    val addrTransType = Wire(new AddrTransType)
689c1b28b66STang Haojin    addrTransType.bare := bare
690c1b28b66STang Haojin    addrTransType.sv39 := sv39
691c1b28b66STang Haojin    addrTransType.sv39x4 := sv39x4
692c1b28b66STang Haojin    addrTransType.sv48 := sv48
693c1b28b66STang Haojin    addrTransType.sv48x4 := sv48x4
694c1b28b66STang Haojin    addrTransType
695c1b28b66STang Haojin  }
696c1b28b66STang Haojin}
697c1b28b66STang Haojin
6980f59c834SWilliam Wangclass L1CacheErrorInfo(implicit p: Parameters) extends XSBundle {
6990f59c834SWilliam Wang  // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR
7000f59c834SWilliam Wang  val source = Output(new Bundle() {
7010f59c834SWilliam Wang    val tag = Bool() // l1 tag array
7020f59c834SWilliam Wang    val data = Bool() // l1 data array
7030f59c834SWilliam Wang    val l2 = Bool()
7040f59c834SWilliam Wang  })
7050f59c834SWilliam Wang  val opType = Output(new Bundle() {
7060f59c834SWilliam Wang    val fetch = Bool()
7070f59c834SWilliam Wang    val load = Bool()
7080f59c834SWilliam Wang    val store = Bool()
7090f59c834SWilliam Wang    val probe = Bool()
7100f59c834SWilliam Wang    val release = Bool()
7110f59c834SWilliam Wang    val atom = Bool()
7120f59c834SWilliam Wang  })
7130f59c834SWilliam Wang  val paddr = Output(UInt(PAddrBits.W))
7140f59c834SWilliam Wang
7150f59c834SWilliam Wang  // report error and paddr to beu
7160f59c834SWilliam Wang  // bus error unit will receive error info iff ecc_error.valid
7170f59c834SWilliam Wang  val report_to_beu = Output(Bool())
7180f59c834SWilliam Wang
7190184a80eSYanqin Li  def toL1BusErrorUnitInfo(valid: Bool): L1BusErrorUnitInfo = {
7200f59c834SWilliam Wang    val beu_info = Wire(new L1BusErrorUnitInfo)
721cd467f7cSxu_zh    beu_info.ecc_error.valid := valid && report_to_beu
7220f59c834SWilliam Wang    beu_info.ecc_error.bits := paddr
7230f59c834SWilliam Wang    beu_info
7240f59c834SWilliam Wang  }
7250f59c834SWilliam Wang}
726bc63e578SLi Qianruo
7277e0f64b0SGuanghui Chengobject TriggerAction extends NamedUInt(4) {
7287e0f64b0SGuanghui Cheng  // Put breakpoint Exception gererated by trigger in ExceptionVec[3].
7297e0f64b0SGuanghui Cheng  def BreakpointExp = 0.U(width.W)  // raise breakpoint exception
7307e0f64b0SGuanghui Cheng  def DebugMode     = 1.U(width.W)  // enter debug mode
7317e0f64b0SGuanghui Cheng  def TraceOn       = 2.U(width.W)
7327e0f64b0SGuanghui Cheng  def TraceOff      = 3.U(width.W)
7337e0f64b0SGuanghui Cheng  def TraceNotify   = 4.U(width.W)
7347e0f64b0SGuanghui Cheng  def None          = 15.U(width.W) // use triggerAction = 15.U to express that action is None;
73584e47f35SLi Qianruo
7367e0f64b0SGuanghui Cheng  def isExp(action: UInt)   = action === BreakpointExp
7377e0f64b0SGuanghui Cheng  def isDmode(action: UInt) = action === DebugMode
7387e0f64b0SGuanghui Cheng  def isNone(action: UInt)  = action === None
73972951335SLi Qianruo}
74072951335SLi Qianruo
741bc63e578SLi Qianruo// these 3 bundles help distribute trigger control signals from CSR
742bc63e578SLi Qianruo// to Frontend, Load and Store.
74372951335SLi Qianruoclass FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle {
744f7af4c74Schengguanghui  val tUpdate = ValidIO(new Bundle {
745f7af4c74Schengguanghui    val addr = Output(UInt(log2Up(TriggerNum).W))
74672951335SLi Qianruo    val tdata = new MatchTriggerIO
74772951335SLi Qianruo  })
748f7af4c74Schengguanghui  val tEnableVec: Vec[Bool] = Output(Vec(TriggerNum, Bool()))
7497e0f64b0SGuanghui Cheng  val debugMode = Output(Bool())
7507e0f64b0SGuanghui Cheng  val triggerCanRaiseBpExp = Output(Bool())
75172951335SLi Qianruo}
75272951335SLi Qianruo
75372951335SLi Qianruoclass MemTdataDistributeIO(implicit p: Parameters) extends XSBundle {
754f7af4c74Schengguanghui  val tUpdate = ValidIO(new Bundle {
755f7af4c74Schengguanghui    val addr = Output(UInt(log2Up(TriggerNum).W))
75672951335SLi Qianruo    val tdata = new MatchTriggerIO
75772951335SLi Qianruo  })
758f7af4c74Schengguanghui  val tEnableVec: Vec[Bool] = Output(Vec(TriggerNum, Bool()))
7597e0f64b0SGuanghui Cheng  val debugMode = Output(Bool())
76004b415dbSchengguanghui  val triggerCanRaiseBpExp  = Output(Bool())
76172951335SLi Qianruo}
76272951335SLi Qianruo
76372951335SLi Qianruoclass MatchTriggerIO(implicit p: Parameters) extends XSBundle {
76472951335SLi Qianruo  val matchType = Output(UInt(2.W))
7657e0f64b0SGuanghui Cheng  val select    = Output(Bool())
76672951335SLi Qianruo  val timing    = Output(Bool())
7677e0f64b0SGuanghui Cheng  val action    = Output(TriggerAction())
76872951335SLi Qianruo  val chain     = Output(Bool())
7697e0f64b0SGuanghui Cheng  val execute   = Output(Bool())
770f7af4c74Schengguanghui  val store     = Output(Bool())
771f7af4c74Schengguanghui  val load      = Output(Bool())
77272951335SLi Qianruo  val tdata2    = Output(UInt(64.W))
773a7a6d0a6Schengguanghui
774a7a6d0a6Schengguanghui  def GenTdataDistribute(tdata1: Tdata1Bundle, tdata2: Tdata2Bundle): MatchTriggerIO = {
775cc6e4cb5Schengguanghui    val mcontrol6 = Wire(new Mcontrol6)
776cc6e4cb5Schengguanghui    mcontrol6 := tdata1.DATA.asUInt
777cc6e4cb5Schengguanghui    this.matchType := mcontrol6.MATCH.asUInt
778cc6e4cb5Schengguanghui    this.select    := mcontrol6.SELECT.asBool
779cc6e4cb5Schengguanghui    this.timing    := false.B
780cc6e4cb5Schengguanghui    this.action    := mcontrol6.ACTION.asUInt
781cc6e4cb5Schengguanghui    this.chain     := mcontrol6.CHAIN.asBool
782cc6e4cb5Schengguanghui    this.execute   := mcontrol6.EXECUTE.asBool
783cc6e4cb5Schengguanghui    this.load      := mcontrol6.LOAD.asBool
784cc6e4cb5Schengguanghui    this.store     := mcontrol6.STORE.asBool
785a7a6d0a6Schengguanghui    this.tdata2    := tdata2.asUInt
786a7a6d0a6Schengguanghui    this
787a7a6d0a6Schengguanghui  }
78872951335SLi Qianruo}
789b9e121dfShappy-lx
790d2b20d1aSTang Haojinclass StallReasonIO(width: Int) extends Bundle {
791d2b20d1aSTang Haojin  val reason = Output(Vec(width, UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W)))
792d2b20d1aSTang Haojin  val backReason = Flipped(Valid(UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W)))
793d2b20d1aSTang Haojin}
794d2b20d1aSTang Haojin
795b9e121dfShappy-lx// custom l2 - l1 interface
796b9e121dfShappy-lxclass L2ToL1Hint(implicit p: Parameters) extends XSBundle with HasDCacheParameters {
797b9e121dfShappy-lx  val sourceId = UInt(log2Up(cfg.nMissEntries).W)    // tilelink sourceID -> mshr id
798d2945707SHuijin Li  val isKeyword = Bool()                             // miss entry keyword -> L1 load queue replay
799b9e121dfShappy-lx}
800f7af4c74Schengguanghui
801e836c770SZhaoyang Youclass TopDownInfo(implicit p: Parameters) extends XSBundle {
802e836c770SZhaoyang You  val lqEmpty = Input(Bool())
803e836c770SZhaoyang You  val sqEmpty = Input(Bool())
804e836c770SZhaoyang You  val l1Miss = Input(Bool())
805e836c770SZhaoyang You  val noUopsIssued = Output(Bool())
806e836c770SZhaoyang You  val l2TopMiss = Input(new TopDownFromL2Top)
807e836c770SZhaoyang You}
808e836c770SZhaoyang You
809e836c770SZhaoyang Youclass TopDownFromL2Top(implicit p: Parameters) extends XSBundle {
810e836c770SZhaoyang You  val l2Miss = Bool()
811e836c770SZhaoyang You  val l3Miss = Bool()
812e836c770SZhaoyang You}
8134d7fbe77Syulightenyu
8144d7fbe77Syulightenyuclass LowPowerIO(implicit p: Parameters) extends Bundle {
8154d7fbe77Syulightenyu  /* i_*: SoC -> CPU   o_*: CPU -> SoC */
8164d7fbe77Syulightenyu  val o_cpu_no_op = Output(Bool())
8174d7fbe77Syulightenyu  //physical power down
8184d7fbe77Syulightenyu  val i_cpu_pwrdown_req_n = Input(Bool())
8194d7fbe77Syulightenyu  val o_cpu_pwrdown_ack_n = Output(Bool())
8204d7fbe77Syulightenyu  // power on/off sequence control for Core iso/rst
8214d7fbe77Syulightenyu  val i_cpu_iso_en= Input(Bool())
8224d7fbe77Syulightenyu  val i_cpu_sw_rst_n = Input(Bool())
8234d7fbe77Syulightenyu}
824