1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package top 18 19import chisel3._ 20import chisel3.util._ 21import xiangshan._ 22import utils._ 23import huancun.PrefetchRecv 24import utility._ 25import system._ 26import device._ 27import chisel3.stage.ChiselGeneratorAnnotation 28import chipsalliance.rocketchip.config._ 29import freechips.rocketchip.diplomacy._ 30import freechips.rocketchip.tilelink._ 31import freechips.rocketchip.jtag.JTAGIO 32import freechips.rocketchip.util.{HasRocketChipStageUtils, UIntToOH1} 33import huancun.{HCCacheParamsKey, HuanCun, HCCacheParameters} 34 35abstract class BaseXSSoc()(implicit p: Parameters) extends LazyModule 36 with BindingScope 37{ 38 val misc = LazyModule(new SoCMisc()) 39 lazy val dts = DTS(bindingTree) 40 lazy val json = JSON(bindingTree) 41} 42 43class XSTop()(implicit p: Parameters) extends BaseXSSoc() with HasSoCParameter 44{ 45 ResourceBinding { 46 val width = ResourceInt(2) 47 val model = "freechips,rocketchip-unknown" 48 Resource(ResourceAnchors.root, "model").bind(ResourceString(model)) 49 Resource(ResourceAnchors.root, "compat").bind(ResourceString(model + "-dev")) 50 Resource(ResourceAnchors.soc, "compat").bind(ResourceString(model + "-soc")) 51 Resource(ResourceAnchors.root, "width").bind(width) 52 Resource(ResourceAnchors.soc, "width").bind(width) 53 Resource(ResourceAnchors.cpus, "width").bind(ResourceInt(1)) 54 def bindManagers(xbar: TLNexusNode) = { 55 ManagerUnification(xbar.edges.in.head.manager.managers).foreach{ manager => 56 manager.resources.foreach(r => r.bind(manager.toResource)) 57 } 58 } 59 bindManagers(misc.l3_xbar.asInstanceOf[TLNexusNode]) 60 bindManagers(misc.peripheralXbar.asInstanceOf[TLNexusNode]) 61 } 62 63 println(s"FPGASoC cores: $NumCores banks: $L3NBanks block size: $L3BlockSize bus size: $L3OuterBusWidth") 64 65 val core_with_l2 = tiles.map(coreParams => 66 LazyModule(new XSTile()(p.alterPartial({ 67 case XSCoreParamsKey => coreParams 68 }))) 69 ) 70 71 val l3cacheOpt = soc.L3CacheParamsOpt.map(l3param => 72 LazyModule(new HuanCun()(new Config((_, _, _) => { 73 case HCCacheParamsKey => l3param.copy(hartIds = tiles.map(_.HartId)) 74 }))) 75 ) 76 77 // recieve all prefetch req from cores 78 val memblock_pf_recv_nodes: Seq[Option[BundleBridgeSink[PrefetchRecv]]] = core_with_l2.map(_.core_l3_pf_port).map{ 79 x => x.map(_ => BundleBridgeSink(Some(() => new PrefetchRecv))) 80 } 81 82 val l3_pf_sender_opt = soc.L3CacheParamsOpt.getOrElse(HCCacheParameters()).prefetch match { 83 case Some(pf) => Some(BundleBridgeSource(() => new PrefetchRecv)) 84 case None => None 85 } 86 87 for (i <- 0 until NumCores) { 88 core_with_l2(i).clint_int_sink := misc.clint.intnode 89 core_with_l2(i).plic_int_sink :*= misc.plic.intnode 90 core_with_l2(i).debug_int_sink := misc.debugModule.debug.dmOuter.dmOuter.intnode 91 misc.plic.intnode := IntBuffer() := core_with_l2(i).beu_int_source 92 misc.peripheral_ports(i) := core_with_l2(i).uncache 93 misc.core_to_l3_ports(i) :=* core_with_l2(i).memory_port 94 memblock_pf_recv_nodes(i).map(recv => { 95 println(s"Connecting Core_${i}'s L1 pf source to L3!") 96 recv := core_with_l2(i).core_l3_pf_port.get 97 }) 98 } 99 100 l3cacheOpt.map(_.ctlnode.map(_ := misc.peripheralXbar)) 101 l3cacheOpt.map(_.intnode.map(int => { 102 misc.plic.intnode := IntBuffer() := int 103 })) 104 105 val core_rst_nodes = if(l3cacheOpt.nonEmpty && l3cacheOpt.get.rst_nodes.nonEmpty){ 106 l3cacheOpt.get.rst_nodes.get 107 } else { 108 core_with_l2.map(_ => BundleBridgeSource(() => Reset())) 109 } 110 111 core_rst_nodes.zip(core_with_l2.map(_.core_reset_sink)).foreach({ 112 case (source, sink) => sink := source 113 }) 114 115 l3cacheOpt match { 116 case Some(l3) => 117 misc.l3_out :*= l3.node :*= misc.l3_banked_xbar 118 l3.pf_recv_node.map(recv => { 119 println("Connecting L1 prefetcher to L3!") 120 recv := l3_pf_sender_opt.get 121 }) 122 case None => 123 } 124 125 lazy val module = new LazyRawModuleImp(this) { 126 FileRegisters.add("dts", dts) 127 FileRegisters.add("graphml", graphML) 128 FileRegisters.add("json", json) 129 FileRegisters.add("plusArgs", freechips.rocketchip.util.PlusArgArtefacts.serialize_cHeader()) 130 131 val dma = IO(Flipped(misc.dma.cloneType)) 132 val peripheral = IO(misc.peripheral.cloneType) 133 val memory = IO(misc.memory.cloneType) 134 135 misc.dma <> dma 136 peripheral <> misc.peripheral 137 memory <> misc.memory 138 139 val io = IO(new Bundle { 140 val clock = Input(Bool()) 141 val reset = Input(AsyncReset()) 142 val sram_config = Input(UInt(16.W)) 143 val extIntrs = Input(UInt(NrExtIntr.W)) 144 val pll0_lock = Input(Bool()) 145 val pll0_ctrl = Output(Vec(6, UInt(32.W))) 146 val systemjtag = new Bundle { 147 val jtag = Flipped(new JTAGIO(hasTRSTn = false)) 148 val reset = Input(AsyncReset()) // No reset allowed on top 149 val mfr_id = Input(UInt(11.W)) 150 val part_number = Input(UInt(16.W)) 151 val version = Input(UInt(4.W)) 152 } 153 val debug_reset = Output(Bool()) 154 val rtc_clock = Input(Bool()) 155 val cacheable_check = new TLPMAIO() 156 val riscv_halt = Output(Vec(NumCores, Bool())) 157 val riscv_rst_vec = Input(Vec(NumCores, UInt(38.W))) 158 }) 159 160 val reset_sync = withClockAndReset(io.clock.asClock, io.reset) { ResetGen() } 161 val jtag_reset_sync = withClockAndReset(io.systemjtag.jtag.TCK, io.systemjtag.reset) { ResetGen() } 162 163 // override LazyRawModuleImp's clock and reset 164 childClock := io.clock.asClock 165 childReset := reset_sync 166 167 // output 168 io.debug_reset := misc.module.debug_module_io.debugIO.ndreset 169 170 // input 171 dontTouch(dma) 172 dontTouch(io) 173 dontTouch(peripheral) 174 dontTouch(memory) 175 misc.module.ext_intrs := io.extIntrs 176 misc.module.rtc_clock := io.rtc_clock 177 misc.module.pll0_lock := io.pll0_lock 178 misc.module.cacheable_check <> io.cacheable_check 179 180 io.pll0_ctrl <> misc.module.pll0_ctrl 181 182 for ((core, i) <- core_with_l2.zipWithIndex) { 183 core.module.io.hartId := i.U 184 io.riscv_halt(i) := core.module.io.cpu_halt 185 core.module.io.reset_vector := io.riscv_rst_vec(i) 186 } 187 188 if(l3cacheOpt.isEmpty || l3cacheOpt.get.rst_nodes.isEmpty){ 189 // tie off core soft reset 190 for(node <- core_rst_nodes){ 191 node.out.head._1 := false.B.asAsyncReset() 192 } 193 } 194 195 l3cacheOpt match { 196 case Some(l3) => 197 l3.pf_recv_node match { 198 case Some(recv) => 199 l3_pf_sender_opt.get.out.head._1.addr_valid := VecInit(memblock_pf_recv_nodes.map(_.get.in.head._1.addr_valid)).asUInt.orR 200 for (i <- 0 until NumCores) { 201 when(memblock_pf_recv_nodes(i).get.in.head._1.addr_valid) { 202 l3_pf_sender_opt.get.out.head._1.addr := memblock_pf_recv_nodes(i).get.in.head._1.addr 203 l3_pf_sender_opt.get.out.head._1.l2_pf_en := memblock_pf_recv_nodes(i).get.in.head._1.l2_pf_en 204 } 205 } 206 case None => 207 } 208 l3.module.io.debugTopDown.robHeadPaddr := core_with_l2.map(_.module.io.debugTopDown.robHeadPaddr) 209 core_with_l2.zip(l3.module.io.debugTopDown.addrMatch).foreach { case (tile, l3Match) => tile.module.io.debugTopDown.l3MissMatch := l3Match } 210 case None => core_with_l2.foreach(_.module.io.debugTopDown.l3MissMatch := false.B) 211 } 212 213 misc.module.debug_module_io.resetCtrl.hartIsInReset := core_with_l2.map(_.module.reset.asBool) 214 misc.module.debug_module_io.clock := io.clock 215 misc.module.debug_module_io.reset := reset_sync 216 217 misc.module.debug_module_io.debugIO.reset := misc.module.reset 218 misc.module.debug_module_io.debugIO.clock := io.clock.asClock 219 // TODO: delay 3 cycles? 220 misc.module.debug_module_io.debugIO.dmactiveAck := misc.module.debug_module_io.debugIO.dmactive 221 // jtag connector 222 misc.module.debug_module_io.debugIO.systemjtag.foreach { x => 223 x.jtag <> io.systemjtag.jtag 224 x.reset := jtag_reset_sync 225 x.mfr_id := io.systemjtag.mfr_id 226 x.part_number := io.systemjtag.part_number 227 x.version := io.systemjtag.version 228 } 229 230 withClockAndReset(io.clock.asClock, reset_sync) { 231 // Modules are reset one by one 232 // reset ----> SYNC --> {SoCMisc, L3 Cache, Cores} 233 val resetChain = Seq(Seq(misc.module) ++ l3cacheOpt.map(_.module) ++ core_with_l2.map(_.module)) 234 ResetGen(resetChain, reset_sync, !debugOpts.FPGAPlatform) 235 } 236 237 } 238} 239 240object TopMain extends App with HasRocketChipStageUtils { 241 override def main(args: Array[String]): Unit = { 242 val (config, firrtlOpts, firrtlComplier, firtoolOpts) = ArgParser.parse(args) 243 244 // tools: init to close dpi-c when in fpga 245 val envInFPGA = config(DebugOptionsKey).FPGAPlatform 246 val enableChiselDB = config(DebugOptionsKey).EnableChiselDB 247 val enableConstantin = config(DebugOptionsKey).EnableConstantin 248 Constantin.init(enableConstantin && !envInFPGA) 249 ChiselDB.init(enableChiselDB && !envInFPGA) 250 251 val soc = DisableMonitors(p => LazyModule(new XSTop()(p)))(config) 252 Generator.execute(firrtlOpts, soc.module, firrtlComplier, firtoolOpts) 253 FileRegisters.write(fileDir = "./build", filePrefix = "XSTop.") 254 } 255} 256