xref: /XiangShan/src/main/scala/top/Top.scala (revision ce34d21eb5ac76b5b49df0748e135581b8df51e5)
1c6d43980SLemover/***************************************************************************************
22993c5ecSHaojin Tang* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
32993c5ecSHaojin Tang* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences
4f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
5c6d43980SLemover*
6c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
7c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
8c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
9c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
10c6d43980SLemover*
11c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
12c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
13c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
14c6d43980SLemover*
15c6d43980SLemover* See the Mulan PSL v2 for more details.
16c6d43980SLemover***************************************************************************************/
17c6d43980SLemover
188b037849SYinan Xupackage top
198b037849SYinan Xu
208b037849SYinan Xuimport chisel3._
218b037849SYinan Xuimport chisel3.util._
222993c5ecSHaojin Tangimport chisel3.experimental.dataview._
232316cea8SJiuyue Maimport difftest.DifftestModule
248b037849SYinan Xuimport xiangshan._
2594c92d92SYinan Xuimport utils._
269672f0b7Swakafaimport huancun.{HCCacheParameters, HCCacheParamsKey, HuanCun, PrefetchRecv, TPmetaResp}
274b40434cSzhanglinjuanimport coupledL2.EnableCHI
2878a8cd25Szhanglinjuanimport openLLC.DummyLLC
293c02ee8fSwakafaimport utility._
308b037849SYinan Xuimport system._
31d4aca96cSlqreimport device._
328b037849SYinan Xuimport chisel3.stage.ChiselGeneratorAnnotation
338891a219SYinan Xuimport org.chipsalliance.cde.config._
348b037849SYinan Xuimport freechips.rocketchip.diplomacy._
354daa5bf3SYangyu Chenimport freechips.rocketchip.tile._
368b037849SYinan Xuimport freechips.rocketchip.tilelink._
374b40434cSzhanglinjuanimport freechips.rocketchip.amba.axi4._
38d4aca96cSlqreimport freechips.rocketchip.jtag.JTAGIO
39a5b77de4STang Haojinimport chisel3.experimental.{annotate, ChiselAnnotation}
40a5b77de4STang Haojinimport sifive.enterprise.firrtl.NestedPrefixModulesAnnotation
41d4aca96cSlqre
42afcc4f2aSJiawei Linabstract class BaseXSSoc()(implicit p: Parameters) extends LazyModule
43afcc4f2aSJiawei Lin  with BindingScope
44afcc4f2aSJiawei Lin{
454b40434cSzhanglinjuan  // val misc = LazyModule(new SoCMisc())
46afcc4f2aSJiawei Lin  lazy val dts = DTS(bindingTree)
474f0a2459Swakafa  lazy val json = JSON(bindingTree)
488b037849SYinan Xu}
498b037849SYinan Xu
5073be64b3SJiawei Linclass XSTop()(implicit p: Parameters) extends BaseXSSoc() with HasSoCParameter
518b037849SYinan Xu{
524b40434cSzhanglinjuan  val nocMisc = if (enableCHI) Some(LazyModule(new MemMisc())) else None
534b40434cSzhanglinjuan  val socMisc = if (!enableCHI) Some(LazyModule(new SoCMisc())) else None
544b40434cSzhanglinjuan  val misc: MemMisc = if (enableCHI) nocMisc.get else socMisc.get
554b40434cSzhanglinjuan
56afcc4f2aSJiawei Lin  ResourceBinding {
57afcc4f2aSJiawei Lin    val width = ResourceInt(2)
58*ce34d21eSJiuyue Ma    val model = "xiangshan," + os.read(os.resource / "publishVersion")
59*ce34d21eSJiuyue Ma    val compatible = "freechips,rocketchip-unknown"
60afcc4f2aSJiawei Lin    Resource(ResourceAnchors.root, "model").bind(ResourceString(model))
61*ce34d21eSJiuyue Ma    Resource(ResourceAnchors.root, "compat").bind(ResourceString(compatible + "-dev"))
62*ce34d21eSJiuyue Ma    Resource(ResourceAnchors.soc, "compat").bind(ResourceString(compatible + "-soc"))
63afcc4f2aSJiawei Lin    Resource(ResourceAnchors.root, "width").bind(width)
64afcc4f2aSJiawei Lin    Resource(ResourceAnchors.soc, "width").bind(width)
65afcc4f2aSJiawei Lin    Resource(ResourceAnchors.cpus, "width").bind(ResourceInt(1))
66afcc4f2aSJiawei Lin    def bindManagers(xbar: TLNexusNode) = {
67afcc4f2aSJiawei Lin      ManagerUnification(xbar.edges.in.head.manager.managers).foreach{ manager =>
68afcc4f2aSJiawei Lin        manager.resources.foreach(r => r.bind(manager.toResource))
69afcc4f2aSJiawei Lin      }
70afcc4f2aSJiawei Lin    }
7178a8cd25Szhanglinjuan    if (!enableCHI) {
721bf9a05aSzhanglinjuan      bindManagers(misc.l3_xbar.get.asInstanceOf[TLNexusNode])
7378a8cd25Szhanglinjuan      bindManagers(misc.peripheralXbar.get.asInstanceOf[TLNexusNode])
7478a8cd25Szhanglinjuan    }
75afcc4f2aSJiawei Lin  }
768b037849SYinan Xu
772225d46eSJiawei Lin  println(s"FPGASoC cores: $NumCores banks: $L3NBanks block size: $L3BlockSize bus size: $L3OuterBusWidth")
788b037849SYinan Xu
7934ab1ae9SJiawei Lin  val core_with_l2 = tiles.map(coreParams =>
80bb2f3f51STang Haojin    LazyModule(new XSTile()(p.alter((site, here, up) => {
812225d46eSJiawei Lin      case XSCoreParamsKey => coreParams
82bb2f3f51STang Haojin      case PerfCounterOptionsKey => up(PerfCounterOptionsKey).copy(perfDBHartID = coreParams.HartId)
832225d46eSJiawei Lin    })))
842225d46eSJiawei Lin  )
858b037849SYinan Xu
8634ab1ae9SJiawei Lin  val l3cacheOpt = soc.L3CacheParamsOpt.map(l3param =>
8734ab1ae9SJiawei Lin    LazyModule(new HuanCun()(new Config((_, _, _) => {
8834f38695STang Haojin      case HCCacheParamsKey => l3param.copy(
8934f38695STang Haojin        hartIds = tiles.map(_.HartId),
9034f38695STang Haojin        FPGAPlatform = debugOpts.FPGAPlatform
9134f38695STang Haojin      )
924daa5bf3SYangyu Chen      case MaxHartIdBits => p(MaxHartIdBits)
93bb2f3f51STang Haojin      case LogUtilsOptionsKey => p(LogUtilsOptionsKey)
94bb2f3f51STang Haojin      case PerfCounterOptionsKey => p(PerfCounterOptionsKey)
9534ab1ae9SJiawei Lin    })))
9634ab1ae9SJiawei Lin  )
9734ab1ae9SJiawei Lin
9878a8cd25Szhanglinjuan  val chi_dummyllc_opt = Option.when(enableCHI)(LazyModule(new DummyLLC(numRNs = NumCores)(p)))
9978a8cd25Szhanglinjuan
10078a8cd25Szhanglinjuan  // receive all prefetch req from cores
1010d32f713Shappy-lx  val memblock_pf_recv_nodes: Seq[Option[BundleBridgeSink[PrefetchRecv]]] = core_with_l2.map(_.core_l3_pf_port).map{
1020d32f713Shappy-lx    x => x.map(_ => BundleBridgeSink(Some(() => new PrefetchRecv)))
1030d32f713Shappy-lx  }
1040d32f713Shappy-lx
1050d32f713Shappy-lx  val l3_pf_sender_opt = soc.L3CacheParamsOpt.getOrElse(HCCacheParameters()).prefetch match {
1060d32f713Shappy-lx    case Some(pf) => Some(BundleBridgeSource(() => new PrefetchRecv))
1070d32f713Shappy-lx    case None => None
1080d32f713Shappy-lx  }
1090d32f713Shappy-lx
1108b037849SYinan Xu  for (i <- 0 until NumCores) {
1114e12f40bSzhanglinjuan    core_with_l2(i).clint_int_node := misc.clint.intnode
1124e12f40bSzhanglinjuan    core_with_l2(i).plic_int_node :*= misc.plic.intnode
1134e12f40bSzhanglinjuan    core_with_l2(i).debug_int_node := misc.debugModule.debug.dmOuter.dmOuter.intnode
114cac098b4SJiawei Lin    misc.plic.intnode := IntBuffer() := core_with_l2(i).beu_int_source
1154b40434cSzhanglinjuan    if (!enableCHI) {
11678a8cd25Szhanglinjuan      misc.peripheral_ports.get(i) := core_with_l2(i).tl_uncache
1174b40434cSzhanglinjuan    }
11878a8cd25Szhanglinjuan    core_with_l2(i).memory_port.foreach(port => (misc.core_to_l3_ports.get)(i) :=* port)
1190d32f713Shappy-lx    memblock_pf_recv_nodes(i).map(recv => {
1200d32f713Shappy-lx      println(s"Connecting Core_${i}'s L1 pf source to L3!")
1210d32f713Shappy-lx      recv := core_with_l2(i).core_l3_pf_port.get
1220d32f713Shappy-lx    })
1238b037849SYinan Xu  }
1248b037849SYinan Xu
12578a8cd25Szhanglinjuan  l3cacheOpt.map(_.ctlnode.map(_ := misc.peripheralXbar.get))
12638005240SJiawei Lin  l3cacheOpt.map(_.intnode.map(int => {
12738005240SJiawei Lin    misc.plic.intnode := IntBuffer() := int
12838005240SJiawei Lin  }))
12934ab1ae9SJiawei Lin
13034ab1ae9SJiawei Lin  val core_rst_nodes = if(l3cacheOpt.nonEmpty && l3cacheOpt.get.rst_nodes.nonEmpty){
13134ab1ae9SJiawei Lin    l3cacheOpt.get.rst_nodes.get
13234ab1ae9SJiawei Lin  } else {
1338a167be7SHaojin Tang    core_with_l2.map(_ => BundleBridgeSource(() => Reset()))
13434ab1ae9SJiawei Lin  }
13534ab1ae9SJiawei Lin
13634ab1ae9SJiawei Lin  core_rst_nodes.zip(core_with_l2.map(_.core_reset_sink)).foreach({
13734ab1ae9SJiawei Lin    case (source, sink) =>  sink := source
13834ab1ae9SJiawei Lin  })
139a1ea7f76SJiawei Lin
1404f94c0c6SJiawei Lin  l3cacheOpt match {
1414f94c0c6SJiawei Lin    case Some(l3) =>
1421bf9a05aSzhanglinjuan      misc.l3_out :*= l3.node :*= misc.l3_banked_xbar.get
1430d32f713Shappy-lx      l3.pf_recv_node.map(recv => {
1440d32f713Shappy-lx        println("Connecting L1 prefetcher to L3!")
1450d32f713Shappy-lx        recv := l3_pf_sender_opt.get
1460d32f713Shappy-lx      })
1479672f0b7Swakafa      l3.tpmeta_recv_node.foreach(recv => {
1489672f0b7Swakafa        for ((core, i) <- core_with_l2.zipWithIndex) {
1499672f0b7Swakafa          println(s"Connecting core_$i\'s L2 TPmeta request to L3!")
1509672f0b7Swakafa          recv := core.core_l3_tpmeta_source_port.get
1519672f0b7Swakafa        }
1529672f0b7Swakafa      })
1539672f0b7Swakafa      l3.tpmeta_send_node.foreach(send => {
1549672f0b7Swakafa        val broadcast = LazyModule(new ValidIOBroadcast[TPmetaResp]())
1559672f0b7Swakafa        broadcast.node := send
1569672f0b7Swakafa        for ((core, i) <- core_with_l2.zipWithIndex) {
1579672f0b7Swakafa          println(s"Connecting core_$i\'s L2 TPmeta response to L3!")
1589672f0b7Swakafa          core.core_l3_tpmeta_sink_port.get := broadcast.node
1599672f0b7Swakafa        }
1609672f0b7Swakafa      })
16173be64b3SJiawei Lin    case None =>
1629d5a2027SYinan Xu  }
1638b037849SYinan Xu
16478a8cd25Szhanglinjuan  chi_dummyllc_opt match {
16578a8cd25Szhanglinjuan    case Some(llc) =>
1661bf9a05aSzhanglinjuan      misc.soc_xbar.get := llc.axi4node
16778a8cd25Szhanglinjuan    case None =>
16878a8cd25Szhanglinjuan  }
16978a8cd25Szhanglinjuan
170935edac4STang Haojin  class XSTopImp(wrapper: LazyModule) extends LazyRawModuleImp(wrapper) {
171a5b77de4STang Haojin    soc.XSTopPrefix.foreach { prefix =>
172a5b77de4STang Haojin      val mod = this.toNamed
173a5b77de4STang Haojin      annotate(new ChiselAnnotation {
174a5b77de4STang Haojin        def toFirrtl = NestedPrefixModulesAnnotation(mod, prefix, true)
175a5b77de4STang Haojin      })
176a5b77de4STang Haojin    }
177a5b77de4STang Haojin
178876196b7SMaxpicca-Li    FileRegisters.add("dts", dts)
179876196b7SMaxpicca-Li    FileRegisters.add("graphml", graphML)
180876196b7SMaxpicca-Li    FileRegisters.add("json", json)
181876196b7SMaxpicca-Li    FileRegisters.add("plusArgs", freechips.rocketchip.util.PlusArgArtefacts.serialize_cHeader())
1824f0a2459Swakafa
1832993c5ecSHaojin Tang    val dma = socMisc.map(m => IO(Flipped(new VerilogAXI4Record(m.dma.elts.head.params))))
1841bf9a05aSzhanglinjuan    val peripheral = IO(new VerilogAXI4Record(misc.peripheral.elts.head.params))
1852993c5ecSHaojin Tang    val memory = IO(new VerilogAXI4Record(misc.memory.elts.head.params))
18673be64b3SJiawei Lin
1874b40434cSzhanglinjuan    socMisc match {
1884b40434cSzhanglinjuan      case Some(m) =>
1892993c5ecSHaojin Tang        m.dma.elements.head._2 <> dma.get.viewAs[AXI4Bundle]
1904b40434cSzhanglinjuan        dontTouch(dma.get)
1914b40434cSzhanglinjuan      case None =>
1924b40434cSzhanglinjuan    }
1934b40434cSzhanglinjuan
1942993c5ecSHaojin Tang    memory.viewAs[AXI4Bundle] <> misc.memory.elements.head._2
19578a8cd25Szhanglinjuan    peripheral.viewAs[AXI4Bundle] <> misc.peripheral.elements.head._2
19673be64b3SJiawei Lin
1978b037849SYinan Xu    val io = IO(new Bundle {
19894c92d92SYinan Xu      val clock = Input(Bool())
19967ba96b4SYinan Xu      val reset = Input(AsyncReset())
20034ab1ae9SJiawei Lin      val sram_config = Input(UInt(16.W))
2018b037849SYinan Xu      val extIntrs = Input(UInt(NrExtIntr.W))
20234ab1ae9SJiawei Lin      val pll0_lock = Input(Bool())
20334ab1ae9SJiawei Lin      val pll0_ctrl = Output(Vec(6, UInt(32.W)))
204d4aca96cSlqre      val systemjtag = new Bundle {
205d4aca96cSlqre        val jtag = Flipped(new JTAGIO(hasTRSTn = false))
20667ba96b4SYinan Xu        val reset = Input(AsyncReset()) // No reset allowed on top
207d4aca96cSlqre        val mfr_id = Input(UInt(11.W))
208d4aca96cSlqre        val part_number = Input(UInt(16.W))
209d4aca96cSlqre        val version = Input(UInt(4.W))
210d4aca96cSlqre      }
21177bc15a2SYinan Xu      val debug_reset = Output(Bool())
2129e56439dSHazard      val rtc_clock = Input(Bool())
21398c71602SJiawei Lin      val cacheable_check = new TLPMAIO()
214b6900d94SYinan Xu      val riscv_halt = Output(Vec(NumCores, Bool()))
215c4b44470SGuokai Chen      val riscv_rst_vec = Input(Vec(NumCores, UInt(38.W)))
2168b037849SYinan Xu    })
21767ba96b4SYinan Xu
21867ba96b4SYinan Xu    val reset_sync = withClockAndReset(io.clock.asClock, io.reset) { ResetGen() }
21967ba96b4SYinan Xu    val jtag_reset_sync = withClockAndReset(io.systemjtag.jtag.TCK, io.systemjtag.reset) { ResetGen() }
22067ba96b4SYinan Xu
22177bc15a2SYinan Xu    // override LazyRawModuleImp's clock and reset
22277bc15a2SYinan Xu    childClock := io.clock.asClock
22367ba96b4SYinan Xu    childReset := reset_sync
22477bc15a2SYinan Xu
22577bc15a2SYinan Xu    // output
22677bc15a2SYinan Xu    io.debug_reset := misc.module.debug_module_io.debugIO.ndreset
22777bc15a2SYinan Xu
22877bc15a2SYinan Xu    // input
22908bf93ffSrvcoresjw    dontTouch(io)
23008bf93ffSrvcoresjw    dontTouch(memory)
23173be64b3SJiawei Lin    misc.module.ext_intrs := io.extIntrs
2329e56439dSHazard    misc.module.rtc_clock := io.rtc_clock
23334ab1ae9SJiawei Lin    misc.module.pll0_lock := io.pll0_lock
23498c71602SJiawei Lin    misc.module.cacheable_check <> io.cacheable_check
23534ab1ae9SJiawei Lin
23634ab1ae9SJiawei Lin    io.pll0_ctrl <> misc.module.pll0_ctrl
237c0bc1ee4SYinan Xu
238e156f460SHaojin Tang    val msiInfo = WireInit(0.U.asTypeOf(ValidIO(new MsiInfoBundle)))
239e156f460SHaojin Tang
240007f6122SXuan Hu
24177bc15a2SYinan Xu    for ((core, i) <- core_with_l2.zipWithIndex) {
24277bc15a2SYinan Xu      core.module.io.hartId := i.U
243e156f460SHaojin Tang      core.module.io.msiInfo := msiInfo
2443bf5eac7SXuan Hu      core.module.io.clintTime := misc.module.clintTime
245b6900d94SYinan Xu      io.riscv_halt(i) := core.module.io.cpu_halt
246c4b44470SGuokai Chen      core.module.io.reset_vector := io.riscv_rst_vec(i)
24778a8cd25Szhanglinjuan      chi_dummyllc_opt.foreach { case llc =>
24878a8cd25Szhanglinjuan        llc.module.io.rn(i) <> core.module.io.chi.get
24978a8cd25Szhanglinjuan        core.module.io.nodeID.get := i.U // TODO
25078a8cd25Szhanglinjuan      }
2518b037849SYinan Xu    }
2528b037849SYinan Xu
25334ab1ae9SJiawei Lin    if(l3cacheOpt.isEmpty || l3cacheOpt.get.rst_nodes.isEmpty){
25434ab1ae9SJiawei Lin      // tie off core soft reset
25534ab1ae9SJiawei Lin      for(node <- core_rst_nodes){
256935edac4STang Haojin        node.out.head._1 := false.B.asAsyncReset
25734ab1ae9SJiawei Lin      }
25834ab1ae9SJiawei Lin    }
25934ab1ae9SJiawei Lin
26060ebee38STang Haojin    l3cacheOpt match {
26160ebee38STang Haojin      case Some(l3) =>
2620d32f713Shappy-lx        l3.pf_recv_node match {
2630d32f713Shappy-lx          case Some(recv) =>
2640d32f713Shappy-lx            l3_pf_sender_opt.get.out.head._1.addr_valid := VecInit(memblock_pf_recv_nodes.map(_.get.in.head._1.addr_valid)).asUInt.orR
2650d32f713Shappy-lx            for (i <- 0 until NumCores) {
2660d32f713Shappy-lx              when(memblock_pf_recv_nodes(i).get.in.head._1.addr_valid) {
2670d32f713Shappy-lx                l3_pf_sender_opt.get.out.head._1.addr := memblock_pf_recv_nodes(i).get.in.head._1.addr
2680d32f713Shappy-lx                l3_pf_sender_opt.get.out.head._1.l2_pf_en := memblock_pf_recv_nodes(i).get.in.head._1.l2_pf_en
2690d32f713Shappy-lx              }
2700d32f713Shappy-lx            }
27160ebee38STang Haojin          case None =>
2720d32f713Shappy-lx        }
27360ebee38STang Haojin        l3.module.io.debugTopDown.robHeadPaddr := core_with_l2.map(_.module.io.debugTopDown.robHeadPaddr)
27460ebee38STang Haojin        core_with_l2.zip(l3.module.io.debugTopDown.addrMatch).foreach { case (tile, l3Match) => tile.module.io.debugTopDown.l3MissMatch := l3Match }
27560ebee38STang Haojin      case None => core_with_l2.foreach(_.module.io.debugTopDown.l3MissMatch := false.B)
27660ebee38STang Haojin    }
2770d32f713Shappy-lx
2784b40434cSzhanglinjuan    core_with_l2.foreach { case tile =>
2794b40434cSzhanglinjuan      tile.module.io.nodeID.foreach { case nodeID =>
2804b40434cSzhanglinjuan        nodeID := DontCare
2814b40434cSzhanglinjuan        dontTouch(nodeID)
2824b40434cSzhanglinjuan      }
2834b40434cSzhanglinjuan    }
2844b40434cSzhanglinjuan
28577bc15a2SYinan Xu    misc.module.debug_module_io.resetCtrl.hartIsInReset := core_with_l2.map(_.module.reset.asBool)
28673be64b3SJiawei Lin    misc.module.debug_module_io.clock := io.clock
28767ba96b4SYinan Xu    misc.module.debug_module_io.reset := reset_sync
288d4aca96cSlqre
28967ba96b4SYinan Xu    misc.module.debug_module_io.debugIO.reset := misc.module.reset
29077bc15a2SYinan Xu    misc.module.debug_module_io.debugIO.clock := io.clock.asClock
29177bc15a2SYinan Xu    // TODO: delay 3 cycles?
29277bc15a2SYinan Xu    misc.module.debug_module_io.debugIO.dmactiveAck := misc.module.debug_module_io.debugIO.dmactive
293d4aca96cSlqre    // jtag connector
29473be64b3SJiawei Lin    misc.module.debug_module_io.debugIO.systemjtag.foreach { x =>
295d4aca96cSlqre      x.jtag        <> io.systemjtag.jtag
29667ba96b4SYinan Xu      x.reset       := jtag_reset_sync
297d4aca96cSlqre      x.mfr_id      := io.systemjtag.mfr_id
298d4aca96cSlqre      x.part_number := io.systemjtag.part_number
299d4aca96cSlqre      x.version     := io.systemjtag.version
300d4aca96cSlqre    }
30177bc15a2SYinan Xu
30267ba96b4SYinan Xu    withClockAndReset(io.clock.asClock, reset_sync) {
30377bc15a2SYinan Xu      // Modules are reset one by one
30425cb35b6SJiawei Lin      // reset ----> SYNC --> {SoCMisc, L3 Cache, Cores}
30525cb35b6SJiawei Lin      val resetChain = Seq(Seq(misc.module) ++ l3cacheOpt.map(_.module) ++ core_with_l2.map(_.module))
3069eee369fSKamimiao      ResetGen(resetChain, reset_sync, !debugOpts.ResetGen)
3078b037849SYinan Xu    }
30877bc15a2SYinan Xu
3098b037849SYinan Xu  }
310935edac4STang Haojin
311935edac4STang Haojin  lazy val module = new XSTopImp(this)
3129d5a2027SYinan Xu}
3138b037849SYinan Xu
314935edac4STang Haojinobject TopMain extends App {
31551e45dbbSTang Haojin  val (config, firrtlOpts, firtoolOpts) = ArgParser.parse(args)
31693610df3SMaxpicca-Li
31793610df3SMaxpicca-Li  // tools: init to close dpi-c when in fpga
31893610df3SMaxpicca-Li  val envInFPGA = config(DebugOptionsKey).FPGAPlatform
3192316cea8SJiuyue Ma  val enableDifftest = config(DebugOptionsKey).EnableDifftest
32062129679Swakafa  val enableChiselDB = config(DebugOptionsKey).EnableChiselDB
321047e34f9SMaxpicca-Li  val enableConstantin = config(DebugOptionsKey).EnableConstantin
322047e34f9SMaxpicca-Li  Constantin.init(enableConstantin && !envInFPGA)
32362129679Swakafa  ChiselDB.init(enableChiselDB && !envInFPGA)
32493610df3SMaxpicca-Li
325720dd621STang Haojin  val soc = if (config(SoCParamsKey).UseXSNoCTop)
326720dd621STang Haojin    DisableMonitors(p => LazyModule(new XSNoCTop()(p)))(config)
327720dd621STang Haojin  else
328720dd621STang Haojin    DisableMonitors(p => LazyModule(new XSTop()(p)))(config)
329720dd621STang Haojin
33051e45dbbSTang Haojin  Generator.execute(firrtlOpts, soc.module, firtoolOpts)
3312316cea8SJiuyue Ma
3322316cea8SJiuyue Ma  // generate difftest bundles (w/o DifftestTopIO)
3332316cea8SJiuyue Ma  if (enableDifftest) {
3342316cea8SJiuyue Ma    DifftestModule.finish("XiangShan", false)
3352316cea8SJiuyue Ma  }
3362316cea8SJiuyue Ma
337876196b7SMaxpicca-Li  FileRegisters.write(fileDir = "./build", filePrefix = "XSTop.")
3388b037849SYinan Xu}
339