1*c6d43980SLemover/*************************************************************************************** 2*c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3*c6d43980SLemover* 4*c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 5*c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 6*c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 7*c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 8*c6d43980SLemover* 9*c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 10*c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 11*c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 12*c6d43980SLemover* 13*c6d43980SLemover* See the Mulan PSL v2 for more details. 14*c6d43980SLemover***************************************************************************************/ 15*c6d43980SLemover 168b037849SYinan Xupackage top 178b037849SYinan Xu 188b037849SYinan Xuimport chisel3._ 198b037849SYinan Xuimport chisel3.util._ 208b037849SYinan Xuimport xiangshan._ 2194c92d92SYinan Xuimport utils._ 228b037849SYinan Xuimport system._ 238b037849SYinan Xuimport chisel3.stage.ChiselGeneratorAnnotation 242225d46eSJiawei Linimport chipsalliance.rocketchip.config._ 252e3a956eSLinJiaweiimport device.{AXI4Plic, TLTimer} 26afcc4f2aSJiawei Linimport firrtl.stage.RunFirrtlTransformAnnotation 278b037849SYinan Xuimport freechips.rocketchip.diplomacy._ 288b037849SYinan Xuimport freechips.rocketchip.tilelink._ 298b037849SYinan Xuimport freechips.rocketchip.amba.axi4._ 30afcc4f2aSJiawei Linimport freechips.rocketchip.devices.tilelink._ 312e3a956eSLinJiaweiimport freechips.rocketchip.diplomaticobjectmodel.logicaltree.GenericLogicalTreeNode 32afcc4f2aSJiawei Linimport freechips.rocketchip.interrupts._ 33afcc4f2aSJiawei Linimport freechips.rocketchip.stage.phases.GenerateArtefacts 342e3a956eSLinJiaweiimport freechips.rocketchip.tile.{BusErrorUnit, BusErrorUnitParams, XLen} 35afcc4f2aSJiawei Linimport freechips.rocketchip.util.{ElaborationArtefacts, HasRocketChipStageUtils} 36afcc4f2aSJiawei Linimport sifive.blocks.inclusivecache.{CacheParameters, InclusiveCache, InclusiveCacheMicroParameters} 378b037849SYinan Xuimport xiangshan.cache.prefetch.L2Prefetcher 388b037849SYinan Xu 398b037849SYinan Xu 402225d46eSJiawei Linclass XSCoreWithL2()(implicit p: Parameters) extends LazyModule 412225d46eSJiawei Lin with HasXSParameter with HasSoCParameter { 42afcc4f2aSJiawei Lin private val core = LazyModule(new XSCore) 4394c92d92SYinan Xu private val l2prefetcher = LazyModule(new L2Prefetcher()) 4494c92d92SYinan Xu private val l2xbar = TLXbar() 459d5a2027SYinan Xu private val l2cache = if (useFakeL2Cache) null else LazyModule(new InclusiveCache( 466c4d7a40SYinan Xu CacheParameters( 476c4d7a40SYinan Xu level = 2, 486c4d7a40SYinan Xu ways = L2NWays, 496c4d7a40SYinan Xu sets = L2NSets, 506c4d7a40SYinan Xu blockBytes = L2BlockSize, 516c4d7a40SYinan Xu beatBytes = L1BusWidth / 8, // beatBytes = l1BusDataWidth / 8 5211b3c588SAllen cacheName = s"L2", 5383cb791fSallen uncachedGet = true, 5411b3c588SAllen enablePerf = false 556c4d7a40SYinan Xu ), 566c4d7a40SYinan Xu InclusiveCacheMicroParameters( 57f5089e26SWonicon memCycles = 25, 586c4d7a40SYinan Xu writeBytes = 32 592791c549Szfw ), 602225d46eSJiawei Lin fpga = debugOpts.FPGAPlatform 616c4d7a40SYinan Xu )) 62afcc4f2aSJiawei Lin if(!useFakeL2Cache) { 63afcc4f2aSJiawei Lin ResourceBinding { 64afcc4f2aSJiawei Lin Resource(l2cache.device, "reg").bind(ResourceAddress(hardId)) 65afcc4f2aSJiawei Lin } 66afcc4f2aSJiawei Lin } 679d5a2027SYinan Xu 689d5a2027SYinan Xu val memory_port = TLIdentityNode() 6994c92d92SYinan Xu val uncache = TLXbar() 706c4d7a40SYinan Xu 719d5a2027SYinan Xu if (!useFakeDCache) { 726c4d7a40SYinan Xu l2xbar := TLBuffer() := core.memBlock.dcache.clientNode 739d5a2027SYinan Xu } 749d5a2027SYinan Xu if (!useFakeL1plusCache) { 756c4d7a40SYinan Xu l2xbar := TLBuffer() := core.l1pluscache.clientNode 769d5a2027SYinan Xu } 779d5a2027SYinan Xu if (!useFakePTW) { 786c4d7a40SYinan Xu l2xbar := TLBuffer() := core.ptw.node 799d5a2027SYinan Xu } 806c4d7a40SYinan Xu l2xbar := TLBuffer() := l2prefetcher.clientNode 819d5a2027SYinan Xu if (useFakeL2Cache) { 829d5a2027SYinan Xu memory_port := l2xbar 839d5a2027SYinan Xu } 849d5a2027SYinan Xu else { 856c4d7a40SYinan Xu l2cache.node := TLBuffer() := l2xbar 869d5a2027SYinan Xu memory_port := l2cache.node 879d5a2027SYinan Xu } 886c4d7a40SYinan Xu 8994c92d92SYinan Xu uncache := TLBuffer() := core.frontend.instrUncache.clientNode 9094c92d92SYinan Xu uncache := TLBuffer() := core.memBlock.uncache.clientNode 916c4d7a40SYinan Xu 9294c92d92SYinan Xu lazy val module = new LazyModuleImp(this) { 936c4d7a40SYinan Xu val io = IO(new Bundle { 946c4d7a40SYinan Xu val hartId = Input(UInt(64.W)) 956c4d7a40SYinan Xu val externalInterrupt = new ExternalInterruptIO 964e3ce935Sljw val l1plus_error, icache_error, dcache_error = new L1CacheErrorInfo 976c4d7a40SYinan Xu }) 986c4d7a40SYinan Xu 9994c92d92SYinan Xu core.module.io.hartId := io.hartId 10094c92d92SYinan Xu core.module.io.externalInterrupt := io.externalInterrupt 101c0bc1ee4SYinan Xu l2prefetcher.module.io.enable := core.module.io.l2_pf_enable 1029d5a2027SYinan Xu if (useFakeL2Cache) { 1039d5a2027SYinan Xu l2prefetcher.module.io.in := DontCare 1049d5a2027SYinan Xu } 1059d5a2027SYinan Xu else { 10694c92d92SYinan Xu l2prefetcher.module.io.in <> l2cache.module.io 1079d5a2027SYinan Xu } 10894c92d92SYinan Xu io.l1plus_error <> core.module.io.l1plus_error 10994c92d92SYinan Xu io.icache_error <> core.module.io.icache_error 11094c92d92SYinan Xu io.dcache_error <> core.module.io.dcache_error 1116c4d7a40SYinan Xu 1122225d46eSJiawei Lin val core_reset_gen = Module(new ResetGen(1, !debugOpts.FPGAPlatform)) 11394c92d92SYinan Xu core.module.reset := core_reset_gen.io.out 11494c92d92SYinan Xu 1152225d46eSJiawei Lin val l2_reset_gen = Module(new ResetGen(1, !debugOpts.FPGAPlatform)) 11694c92d92SYinan Xu l2prefetcher.module.reset := l2_reset_gen.io.out 1179d5a2027SYinan Xu if (!useFakeL2Cache) { 11894c92d92SYinan Xu l2cache.module.reset := l2_reset_gen.io.out 11994c92d92SYinan Xu } 12094c92d92SYinan Xu } 1219d5a2027SYinan Xu} 1226c4d7a40SYinan Xu 123afcc4f2aSJiawei Linabstract class BaseXSSoc()(implicit p: Parameters) extends LazyModule 124afcc4f2aSJiawei Lin with HasSoCParameter 125afcc4f2aSJiawei Lin with BindingScope 126afcc4f2aSJiawei Lin{ 1278b037849SYinan Xu val bankedNode = BankBinder(L3NBanks, L3BlockSize) 1288b037849SYinan Xu val peripheralXbar = TLXbar() 1298b037849SYinan Xu val l3_xbar = TLXbar() 130afcc4f2aSJiawei Lin lazy val dts = DTS(bindingTree) 1318b037849SYinan Xu} 1328b037849SYinan Xu 1338b037849SYinan Xu// We adapt the following three traits from rocket-chip. 1348b037849SYinan Xu// Source: rocket-chip/src/main/scala/subsystem/Ports.scala 1358b037849SYinan Xutrait HaveSlaveAXI4Port { 1368b037849SYinan Xu this: BaseXSSoc => 1378b037849SYinan Xu 1388b037849SYinan Xu val idBits = 16 1398b037849SYinan Xu 1408b037849SYinan Xu val l3FrontendAXI4Node = AXI4MasterNode(Seq(AXI4MasterPortParameters( 1418b037849SYinan Xu Seq(AXI4MasterParameters( 1428b037849SYinan Xu name = "dma", 1438b037849SYinan Xu id = IdRange(0, 1 << idBits) 1448b037849SYinan Xu )) 1458b037849SYinan Xu ))) 1468b037849SYinan Xu private val errorDevice = LazyModule(new TLError( 1478b037849SYinan Xu params = DevNullParams( 1488b037849SYinan Xu address = Seq(AddressSet(0x0, 0x7fffffffL)), 1498b037849SYinan Xu maxAtomic = 8, 1508b037849SYinan Xu maxTransfer = 64), 1512225d46eSJiawei Lin beatBytes = L3InnerBusWidth / 8 1528b037849SYinan Xu )) 1538b037849SYinan Xu private val error_xbar = TLXbar() 1548b037849SYinan Xu 1558b037849SYinan Xu error_xbar := 1568b037849SYinan Xu AXI4ToTL() := 1578b037849SYinan Xu AXI4UserYanker(Some(1)) := 1588b037849SYinan Xu AXI4Fragmenter() := 1598b037849SYinan Xu AXI4IdIndexer(1) := 1608b037849SYinan Xu l3FrontendAXI4Node 1618b037849SYinan Xu errorDevice.node := error_xbar 1628b037849SYinan Xu l3_xbar := 1638b037849SYinan Xu TLBuffer() := 1648b037849SYinan Xu error_xbar 1658b037849SYinan Xu 1668b037849SYinan Xu val dma = InModuleBody { 1678b037849SYinan Xu l3FrontendAXI4Node.makeIOs() 1688b037849SYinan Xu } 1698b037849SYinan Xu} 1708b037849SYinan Xu 1718b037849SYinan Xutrait HaveAXI4MemPort { 1728b037849SYinan Xu this: BaseXSSoc => 173afcc4f2aSJiawei Lin val device = new MemoryDevice 1748b037849SYinan Xu // 40-bit physical address 1758b037849SYinan Xu val memRange = AddressSet(0x00000000L, 0xffffffffffL).subtract(AddressSet(0x0L, 0x7fffffffL)) 176329e267dSYinan Xu val memAXI4SlaveNode = AXI4SlaveNode(Seq( 1778b037849SYinan Xu AXI4SlavePortParameters( 1788b037849SYinan Xu slaves = Seq( 1798b037849SYinan Xu AXI4SlaveParameters( 1808b037849SYinan Xu address = memRange, 1818b037849SYinan Xu regionType = RegionType.UNCACHED, 1828b037849SYinan Xu executable = true, 1838b037849SYinan Xu supportsRead = TransferSizes(1, L3BlockSize), 1848b037849SYinan Xu supportsWrite = TransferSizes(1, L3BlockSize), 185afcc4f2aSJiawei Lin interleavedId = Some(0), 186afcc4f2aSJiawei Lin resources = device.reg("mem") 1878b037849SYinan Xu ) 1888b037849SYinan Xu ), 1892225d46eSJiawei Lin beatBytes = L3OuterBusWidth / 8 1908b037849SYinan Xu ) 191329e267dSYinan Xu )) 1928b037849SYinan Xu 193329e267dSYinan Xu val mem_xbar = TLXbar() 194329e267dSYinan Xu mem_xbar :=* TLBuffer() :=* TLCacheCork() :=* bankedNode 195329e267dSYinan Xu memAXI4SlaveNode := 196329e267dSYinan Xu AXI4UserYanker() := 197329e267dSYinan Xu AXI4Deinterleaver(L3BlockSize) := 198329e267dSYinan Xu TLToAXI4() := 1992225d46eSJiawei Lin TLWidthWidget(L3OuterBusWidth / 8) := 200329e267dSYinan Xu mem_xbar 2018b037849SYinan Xu 2028b037849SYinan Xu val memory = InModuleBody { 2038b037849SYinan Xu memAXI4SlaveNode.makeIOs() 2048b037849SYinan Xu } 2058b037849SYinan Xu} 2068b037849SYinan Xu 2078b037849SYinan Xu 2088b037849SYinan Xutrait HaveAXI4PeripheralPort { this: BaseXSSoc => 2098b037849SYinan Xu // on-chip devices: 0x3800_000 - 0x3fff_ffff 2108b037849SYinan Xu val onChipPeripheralRange = AddressSet(0x38000000L, 0x07ffffffL) 211afcc4f2aSJiawei Lin val uartRange = AddressSet(0x40600000, 0xf) 212afcc4f2aSJiawei Lin val uartDevice = new SimpleDevice("serial", Seq("xilinx,uartlite")) 213afcc4f2aSJiawei Lin val uartParams = AXI4SlaveParameters( 214afcc4f2aSJiawei Lin address = Seq(uartRange), 215afcc4f2aSJiawei Lin regionType = RegionType.UNCACHED, 216afcc4f2aSJiawei Lin supportsRead = TransferSizes(1, 8), 217afcc4f2aSJiawei Lin supportsWrite = TransferSizes(1, 8), 218afcc4f2aSJiawei Lin resources = uartDevice.reg 219afcc4f2aSJiawei Lin ) 220afcc4f2aSJiawei Lin val peripheralRange = AddressSet( 221afcc4f2aSJiawei Lin 0x0, 0x7fffffff 222afcc4f2aSJiawei Lin ).subtract(onChipPeripheralRange).flatMap(x => x.subtract(uartRange)) 2238b037849SYinan Xu val peripheralNode = AXI4SlaveNode(Seq(AXI4SlavePortParameters( 2248b037849SYinan Xu Seq(AXI4SlaveParameters( 2258b037849SYinan Xu address = peripheralRange, 2268b037849SYinan Xu regionType = RegionType.UNCACHED, 2278b037849SYinan Xu supportsRead = TransferSizes(1, 8), 2288b037849SYinan Xu supportsWrite = TransferSizes(1, 8), 2298b037849SYinan Xu interleavedId = Some(0) 230afcc4f2aSJiawei Lin ), uartParams), 2318b037849SYinan Xu beatBytes = 8 2328b037849SYinan Xu ))) 2338b037849SYinan Xu 2348b037849SYinan Xu peripheralNode := 2358b037849SYinan Xu AXI4UserYanker() := 2369d4d50e0SYinan Xu AXI4Deinterleaver(8) := 2378b037849SYinan Xu TLToAXI4() := 2388b037849SYinan Xu peripheralXbar 2398b037849SYinan Xu 2408b037849SYinan Xu val peripheral = InModuleBody { 2418b037849SYinan Xu peripheralNode.makeIOs() 2428b037849SYinan Xu } 2438b037849SYinan Xu 2448b037849SYinan Xu} 2458b037849SYinan Xu 2462225d46eSJiawei Linclass XSTop()(implicit p: Parameters) extends XSTopWithoutDMA 2472225d46eSJiawei Lin with HaveSlaveAXI4Port 2488b037849SYinan Xu 2492225d46eSJiawei Linclass XSTopWithoutDMA()(implicit p: Parameters) extends BaseXSSoc() 2508b037849SYinan Xu with HaveAXI4MemPort 2518b037849SYinan Xu with HaveAXI4PeripheralPort 2528b037849SYinan Xu{ 253afcc4f2aSJiawei Lin ResourceBinding { 254afcc4f2aSJiawei Lin val width = ResourceInt(2) 255afcc4f2aSJiawei Lin val model = "freechips,rocketchip-unknown" 256afcc4f2aSJiawei Lin Resource(ResourceAnchors.root, "model").bind(ResourceString(model)) 257afcc4f2aSJiawei Lin Resource(ResourceAnchors.root, "compat").bind(ResourceString(model + "-dev")) 258afcc4f2aSJiawei Lin Resource(ResourceAnchors.soc, "compat").bind(ResourceString(model + "-soc")) 259afcc4f2aSJiawei Lin Resource(ResourceAnchors.root, "width").bind(width) 260afcc4f2aSJiawei Lin Resource(ResourceAnchors.soc, "width").bind(width) 261afcc4f2aSJiawei Lin Resource(ResourceAnchors.cpus, "width").bind(ResourceInt(1)) 262afcc4f2aSJiawei Lin def bindManagers(xbar: TLNexusNode) = { 263afcc4f2aSJiawei Lin ManagerUnification(xbar.edges.in.head.manager.managers).foreach{ manager => 264afcc4f2aSJiawei Lin manager.resources.foreach(r => r.bind(manager.toResource)) 265afcc4f2aSJiawei Lin } 266afcc4f2aSJiawei Lin } 267afcc4f2aSJiawei Lin bindManagers(l3_xbar.asInstanceOf[TLNexusNode]) 268afcc4f2aSJiawei Lin bindManagers(peripheralXbar.asInstanceOf[TLNexusNode]) 269afcc4f2aSJiawei Lin } 2708b037849SYinan Xu 2712225d46eSJiawei Lin println(s"FPGASoC cores: $NumCores banks: $L3NBanks block size: $L3BlockSize bus size: $L3OuterBusWidth") 2728b037849SYinan Xu 2732225d46eSJiawei Lin val core_with_l2 = soc.cores.map(coreParams => 2742225d46eSJiawei Lin LazyModule(new XSCoreWithL2()(p.alterPartial({ 2752225d46eSJiawei Lin case XSCoreParamsKey => coreParams 2762225d46eSJiawei Lin }))) 2772225d46eSJiawei Lin ) 2788b037849SYinan Xu 2798b037849SYinan Xu for (i <- 0 until NumCores) { 28094c92d92SYinan Xu peripheralXbar := TLBuffer() := core_with_l2(i).uncache 2819d5a2027SYinan Xu l3_xbar := TLBuffer() := core_with_l2(i).memory_port 2828b037849SYinan Xu } 2838b037849SYinan Xu 284afcc4f2aSJiawei Lin val clint = LazyModule(new CLINT(CLINTParams(0x38000000L), 8)) 2858b037849SYinan Xu clint.node := peripheralXbar 2868b037849SYinan Xu 287afcc4f2aSJiawei Lin val clintIntSinks = Array.fill(NumCores){ 288afcc4f2aSJiawei Lin val clintSink = LazyModule(new IntSinkNodeToModule(2)) 289afcc4f2aSJiawei Lin clintSink.sinkNode := clint.intnode 290afcc4f2aSJiawei Lin clintSink 291afcc4f2aSJiawei Lin } 292afcc4f2aSJiawei Lin 2932e3a956eSLinJiawei val fakeTreeNode = new GenericLogicalTreeNode 2942e3a956eSLinJiawei val beu = LazyModule( 2952e3a956eSLinJiawei new BusErrorUnit(new XSL1BusErrors(NumCores), BusErrorUnitParams(0x38010000), fakeTreeNode)) 2962e3a956eSLinJiawei beu.node := peripheralXbar 2972e3a956eSLinJiawei 298afcc4f2aSJiawei Lin class IntSinkNodeToModule(val sinks: Int)(implicit p: Parameters) extends LazyModule { 299afcc4f2aSJiawei Lin val sinkNode = IntSinkNode(IntSinkPortSimple(1, sinks)) 3002e3a956eSLinJiawei lazy val module = new LazyModuleImp(this){ 301afcc4f2aSJiawei Lin val out = IO(Output(Vec(sinks, Bool()))) 302afcc4f2aSJiawei Lin out.zip(sinkNode.in.head._1).foreach{ case (o, i) => o := i } 3032e3a956eSLinJiawei } 3042e3a956eSLinJiawei } 3052e3a956eSLinJiawei 306afcc4f2aSJiawei Lin class IntSourceNodeToModule(val num: Int)(implicit p: Parameters) extends LazyModule { 307afcc4f2aSJiawei Lin val sourceNode = IntSourceNode(IntSourcePortSimple(num, ports = 1, sources = 1)) 308afcc4f2aSJiawei Lin lazy val module = new LazyModuleImp(this){ 309afcc4f2aSJiawei Lin val in = IO(Input(Vec(num, Bool()))) 310afcc4f2aSJiawei Lin in.zip(sourceNode.out.head._1).foreach{ case (i, s) => s := i } 311afcc4f2aSJiawei Lin } 312afcc4f2aSJiawei Lin } 313afcc4f2aSJiawei Lin 314afcc4f2aSJiawei Lin val plic = LazyModule(new TLPLIC(PLICParams(0x3c000000L), 8)) 315afcc4f2aSJiawei Lin val plicSource = LazyModule(new IntSourceNodeToModule(NrExtIntr)) 316afcc4f2aSJiawei Lin val plicIntSinks = Array.fill(NumCores){ 317afcc4f2aSJiawei Lin val plicSink = LazyModule(new IntSinkNodeToModule(1)) 318afcc4f2aSJiawei Lin plicSink.sinkNode := plic.intnode 319afcc4f2aSJiawei Lin plicSink 320afcc4f2aSJiawei Lin } 321afcc4f2aSJiawei Lin plic.intnode := beu.intNode 322afcc4f2aSJiawei Lin plic.intnode := plicSource.sourceNode 323afcc4f2aSJiawei Lin 324afcc4f2aSJiawei Lin plic.node := peripheralXbar 3258b037849SYinan Xu 3269d5a2027SYinan Xu val l3cache = if (useFakeL3Cache) null else LazyModule(new InclusiveCache( 3278b037849SYinan Xu CacheParameters( 3288b037849SYinan Xu level = 3, 3298b037849SYinan Xu ways = L3NWays, 3308b037849SYinan Xu sets = L3NSets, 3318b037849SYinan Xu blockBytes = L3BlockSize, 3322225d46eSJiawei Lin beatBytes = L3InnerBusWidth / 8, 33311b3c588SAllen cacheName = "L3", 33483cb791fSallen uncachedGet = false, 33511b3c588SAllen enablePerf = false 3368b037849SYinan Xu ), 3378b037849SYinan Xu InclusiveCacheMicroParameters( 338f5089e26SWonicon memCycles = 25, 3398b037849SYinan Xu writeBytes = 32 3402791c549Szfw ), 3412225d46eSJiawei Lin fpga = debugOpts.FPGAPlatform 34294c92d92SYinan Xu )) 343afcc4f2aSJiawei Lin if(!useFakeL3Cache){ 344afcc4f2aSJiawei Lin ResourceBinding{ 345afcc4f2aSJiawei Lin Resource(l3cache.device, "reg").bind(ResourceAddress(0)) 346afcc4f2aSJiawei Lin } 347afcc4f2aSJiawei Lin } 3489d5a2027SYinan Xu val l3Ignore = if (useFakeL3Cache) TLIgnoreNode() else null 3498b037849SYinan Xu 3509d5a2027SYinan Xu if (useFakeL3Cache) { 3519d5a2027SYinan Xu bankedNode :*= l3Ignore :*= l3_xbar 3529d5a2027SYinan Xu } 3539d5a2027SYinan Xu else { 35494c92d92SYinan Xu bankedNode :*= l3cache.node :*= TLBuffer() :*= l3_xbar 3559d5a2027SYinan Xu } 3568b037849SYinan Xu 35794c92d92SYinan Xu lazy val module = new LazyRawModuleImp(this) { 358afcc4f2aSJiawei Lin ElaborationArtefacts.add("dts", dts) 3598b037849SYinan Xu val io = IO(new Bundle { 36094c92d92SYinan Xu val clock = Input(Bool()) 36194c92d92SYinan Xu val reset = Input(Bool()) 3628b037849SYinan Xu val extIntrs = Input(UInt(NrExtIntr.W)) 3638b037849SYinan Xu // val meip = Input(Vec(NumCores, Bool())) 3642225d46eSJiawei Lin val ila = if(debugOpts.FPGAPlatform && EnableILA) Some(Output(new ILABundle)) else None 3658b037849SYinan Xu }) 36694c92d92SYinan Xu childClock := io.clock.asClock() 3678b037849SYinan Xu 36894c92d92SYinan Xu withClockAndReset(childClock, io.reset) { 3692225d46eSJiawei Lin val resetGen = Module(new ResetGen(1, !debugOpts.FPGAPlatform)) 37094c92d92SYinan Xu resetGen.suggestName("top_reset_gen") 37194c92d92SYinan Xu childReset := resetGen.io.out 37294c92d92SYinan Xu } 37394c92d92SYinan Xu 37494c92d92SYinan Xu withClockAndReset(childClock, childReset) { 375afcc4f2aSJiawei Lin plicSource.module.in := io.extIntrs.asBools() 376c0bc1ee4SYinan Xu 3778b037849SYinan Xu for (i <- 0 until NumCores) { 3782225d46eSJiawei Lin val core_reset_gen = Module(new ResetGen(1, !debugOpts.FPGAPlatform)) 37994c92d92SYinan Xu core_reset_gen.suggestName(s"core_${i}_reset_gen") 38094c92d92SYinan Xu core_with_l2(i).module.reset := core_reset_gen.io.out 3816c4d7a40SYinan Xu core_with_l2(i).module.io.hartId := i.U 382afcc4f2aSJiawei Lin core_with_l2(i).module.io.externalInterrupt.msip := clintIntSinks(i).module.out(0) 383afcc4f2aSJiawei Lin core_with_l2(i).module.io.externalInterrupt.mtip := clintIntSinks(i).module.out(1) 384afcc4f2aSJiawei Lin core_with_l2(i).module.io.externalInterrupt.meip := plicIntSinks(i).module.out(0) 385c0bc1ee4SYinan Xu beu.module.io.errors.l1plus(i) := core_with_l2(i).module.io.l1plus_error 386c0bc1ee4SYinan Xu beu.module.io.errors.icache(i) := core_with_l2(i).module.io.icache_error 387c0bc1ee4SYinan Xu beu.module.io.errors.dcache(i) := core_with_l2(i).module.io.dcache_error 3888b037849SYinan Xu } 3898b037849SYinan Xu 3909d5a2027SYinan Xu if (!useFakeL3Cache) { 3912225d46eSJiawei Lin val l3_reset_gen = Module(new ResetGen(1, !debugOpts.FPGAPlatform)) 39294c92d92SYinan Xu l3_reset_gen.suggestName("l3_reset_gen") 39394c92d92SYinan Xu l3cache.module.reset := l3_reset_gen.io.out 39494c92d92SYinan Xu } 395330595dfSJiawei Lin // TODO: wrap this in a module 396330595dfSJiawei Lin val freq = 100 397330595dfSJiawei Lin val cnt = RegInit(freq.U) 398330595dfSJiawei Lin val tick = cnt === 0.U 399330595dfSJiawei Lin cnt := Mux(tick, freq.U, cnt - 1.U) 400330595dfSJiawei Lin clint.module.io.rtcTick := tick 4018b037849SYinan Xu } 4028b037849SYinan Xu } 4039d5a2027SYinan Xu} 4048b037849SYinan Xu 405afcc4f2aSJiawei Linobject TopMain extends App with HasRocketChipStageUtils { 4068b037849SYinan Xu override def main(args: Array[String]): Unit = { 40745c767e3SLinJiawei val (config, firrtlOpts) = ArgParser.parse(args) 40845c767e3SLinJiawei XiangShanStage.execute(firrtlOpts, Seq( 4098b037849SYinan Xu ChiselGeneratorAnnotation(() => { 41045c767e3SLinJiawei val soc = LazyModule(new XSTop()(config)) 4118b037849SYinan Xu soc.module 4128b037849SYinan Xu }) 4138b037849SYinan Xu )) 414afcc4f2aSJiawei Lin ElaborationArtefacts.files.foreach{ case (extension, contents) => 415afcc4f2aSJiawei Lin writeOutputFile("./build", s"XSTop.${extension}", contents()) 416afcc4f2aSJiawei Lin } 4178b037849SYinan Xu } 4188b037849SYinan Xu} 419