1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 178b037849SYinan Xupackage top 188b037849SYinan Xu 198b037849SYinan Xuimport chisel3._ 208b037849SYinan Xuimport chisel3.util._ 218b037849SYinan Xuimport xiangshan._ 2294c92d92SYinan Xuimport utils._ 238b037849SYinan Xuimport system._ 24d4aca96cSlqreimport device._ 258b037849SYinan Xuimport chisel3.stage.ChiselGeneratorAnnotation 262225d46eSJiawei Linimport chipsalliance.rocketchip.config._ 27a1ea7f76SJiawei Linimport device.{AXI4Plic, DebugModule, TLTimer} 288b037849SYinan Xuimport freechips.rocketchip.diplomacy._ 298b037849SYinan Xuimport freechips.rocketchip.tilelink._ 308b037849SYinan Xuimport freechips.rocketchip.amba.axi4._ 31afcc4f2aSJiawei Linimport freechips.rocketchip.devices.tilelink._ 322e3a956eSLinJiaweiimport freechips.rocketchip.diplomaticobjectmodel.logicaltree.GenericLogicalTreeNode 33afcc4f2aSJiawei Linimport freechips.rocketchip.interrupts._ 34d4aca96cSlqreimport freechips.rocketchip.jtag.JTAGIO 352e3a956eSLinJiaweiimport freechips.rocketchip.tile.{BusErrorUnit, BusErrorUnitParams, XLen} 361a2cf152SYinan Xuimport freechips.rocketchip.tilelink 37*73be64b3SJiawei Linimport freechips.rocketchip.util.{ElaborationArtefacts, HasRocketChipStageUtils, UIntToOH1} 38a1ea7f76SJiawei Linimport huancun.debug.TLLogger 39a1ea7f76SJiawei Linimport huancun.{HCCacheParamsKey, HuanCun} 40d4aca96cSlqreimport freechips.rocketchip.devices.debug.{DebugIO, ResetCtrlIO} 41d4aca96cSlqre 42afcc4f2aSJiawei Linabstract class BaseXSSoc()(implicit p: Parameters) extends LazyModule 43afcc4f2aSJiawei Lin with BindingScope 44afcc4f2aSJiawei Lin{ 45*73be64b3SJiawei Lin val misc = LazyModule(new SoCMisc()) 46afcc4f2aSJiawei Lin lazy val dts = DTS(bindingTree) 474f0a2459Swakafa lazy val json = JSON(bindingTree) 488b037849SYinan Xu} 498b037849SYinan Xu 50*73be64b3SJiawei Linclass XSTop()(implicit p: Parameters) extends BaseXSSoc() with HasSoCParameter 518b037849SYinan Xu{ 52afcc4f2aSJiawei Lin ResourceBinding { 53afcc4f2aSJiawei Lin val width = ResourceInt(2) 54afcc4f2aSJiawei Lin val model = "freechips,rocketchip-unknown" 55afcc4f2aSJiawei Lin Resource(ResourceAnchors.root, "model").bind(ResourceString(model)) 56afcc4f2aSJiawei Lin Resource(ResourceAnchors.root, "compat").bind(ResourceString(model + "-dev")) 57afcc4f2aSJiawei Lin Resource(ResourceAnchors.soc, "compat").bind(ResourceString(model + "-soc")) 58afcc4f2aSJiawei Lin Resource(ResourceAnchors.root, "width").bind(width) 59afcc4f2aSJiawei Lin Resource(ResourceAnchors.soc, "width").bind(width) 60afcc4f2aSJiawei Lin Resource(ResourceAnchors.cpus, "width").bind(ResourceInt(1)) 61afcc4f2aSJiawei Lin def bindManagers(xbar: TLNexusNode) = { 62afcc4f2aSJiawei Lin ManagerUnification(xbar.edges.in.head.manager.managers).foreach{ manager => 63afcc4f2aSJiawei Lin manager.resources.foreach(r => r.bind(manager.toResource)) 64afcc4f2aSJiawei Lin } 65afcc4f2aSJiawei Lin } 66*73be64b3SJiawei Lin bindManagers(misc.l3_xbar.asInstanceOf[TLNexusNode]) 67*73be64b3SJiawei Lin bindManagers(misc.peripheralXbar.asInstanceOf[TLNexusNode]) 68afcc4f2aSJiawei Lin } 698b037849SYinan Xu 702225d46eSJiawei Lin println(s"FPGASoC cores: $NumCores banks: $L3NBanks block size: $L3BlockSize bus size: $L3OuterBusWidth") 718b037849SYinan Xu 722225d46eSJiawei Lin val core_with_l2 = soc.cores.map(coreParams => 73*73be64b3SJiawei Lin LazyModule(new XSTile()(p.alterPartial({ 742225d46eSJiawei Lin case XSCoreParamsKey => coreParams 752225d46eSJiawei Lin }))) 762225d46eSJiawei Lin ) 778b037849SYinan Xu 788b037849SYinan Xu for (i <- 0 until NumCores) { 79*73be64b3SJiawei Lin core_with_l2(i).clint_int_sink := misc.clint.intnode 80*73be64b3SJiawei Lin core_with_l2(i).plic_int_sink := misc.plic.intnode 81*73be64b3SJiawei Lin core_with_l2(i).debug_int_sink := misc.debugModule.debug.dmOuter.dmOuter.intnode 82*73be64b3SJiawei Lin misc.plic.intnode := core_with_l2(i).beu_int_source 83*73be64b3SJiawei Lin misc.peripheral_ports(i) := core_with_l2(i).uncache 84*73be64b3SJiawei Lin misc.core_to_l3_ports(i) :=* core_with_l2(i).memory_port 858b037849SYinan Xu } 868b037849SYinan Xu 874f94c0c6SJiawei Lin val l3cacheOpt = soc.L3CacheParamsOpt.map(l3param => 884f94c0c6SJiawei Lin LazyModule(new HuanCun()(new Config((_, _, _) => { 894f94c0c6SJiawei Lin case HCCacheParamsKey => l3param 90a1ea7f76SJiawei Lin }))) 914f94c0c6SJiawei Lin ) 92a1ea7f76SJiawei Lin 934f94c0c6SJiawei Lin l3cacheOpt match { 944f94c0c6SJiawei Lin case Some(l3) => 95*73be64b3SJiawei Lin misc.l3_out :*= l3.node :*= misc.l3_in 96*73be64b3SJiawei Lin case None => 979d5a2027SYinan Xu } 988b037849SYinan Xu 9994c92d92SYinan Xu lazy val module = new LazyRawModuleImp(this) { 100afcc4f2aSJiawei Lin ElaborationArtefacts.add("dts", dts) 1014f0a2459Swakafa ElaborationArtefacts.add("graphml", graphML) 1024f0a2459Swakafa ElaborationArtefacts.add("json", json) 1034f0a2459Swakafa ElaborationArtefacts.add("plusArgs", freechips.rocketchip.util.PlusArgArtefacts.serialize_cHeader()) 1044f0a2459Swakafa 105*73be64b3SJiawei Lin val dma = IO(Flipped(misc.dma.cloneType)) 106*73be64b3SJiawei Lin val peripheral = IO(misc.peripheral.cloneType) 107*73be64b3SJiawei Lin val memory = IO(misc.memory.cloneType) 108*73be64b3SJiawei Lin 109*73be64b3SJiawei Lin misc.dma <> dma 110*73be64b3SJiawei Lin peripheral <> misc.peripheral 111*73be64b3SJiawei Lin memory <> misc.memory 112*73be64b3SJiawei Lin 1138b037849SYinan Xu val io = IO(new Bundle { 11494c92d92SYinan Xu val clock = Input(Bool()) 11594c92d92SYinan Xu val reset = Input(Bool()) 1168130d625Srvcoresjw val sram_config = Input(UInt(5.W)) 1178130d625Srvcoresjw val osc_clock = Input(Bool()) 1188130d625Srvcoresjw val pll_output = Output(UInt(14.W)) 1198b037849SYinan Xu val extIntrs = Input(UInt(NrExtIntr.W)) 120d4aca96cSlqre val systemjtag = new Bundle { 121d4aca96cSlqre val jtag = Flipped(new JTAGIO(hasTRSTn = false)) 122d4aca96cSlqre val reset = Input(Bool()) // No reset allowed on top 123d4aca96cSlqre val mfr_id = Input(UInt(11.W)) 124d4aca96cSlqre val part_number = Input(UInt(16.W)) 125d4aca96cSlqre val version = Input(UInt(4.W)) 126d4aca96cSlqre } 1278b037849SYinan Xu }) 1288130d625Srvcoresjw io.pll_output := DontCare 1298130d625Srvcoresjw dontTouch(io.sram_config) 1308130d625Srvcoresjw dontTouch(io.osc_clock) 1318130d625Srvcoresjw dontTouch(io.pll_output) 132*73be64b3SJiawei Lin childClock := io.clock.asClock 1338b037849SYinan Xu 13494c92d92SYinan Xu withClockAndReset(childClock, io.reset) { 1352225d46eSJiawei Lin val resetGen = Module(new ResetGen(1, !debugOpts.FPGAPlatform)) 13694c92d92SYinan Xu resetGen.suggestName("top_reset_gen") 137*73be64b3SJiawei Lin childReset := resetGen.io.out | misc.module.debug_module_io.debugIO.ndreset 13894c92d92SYinan Xu } 13994c92d92SYinan Xu 14094c92d92SYinan Xu withClockAndReset(childClock, childReset) { 141*73be64b3SJiawei Lin misc.module.ext_intrs := io.extIntrs 142c0bc1ee4SYinan Xu 1438b037849SYinan Xu for (i <- 0 until NumCores) { 1442225d46eSJiawei Lin val core_reset_gen = Module(new ResetGen(1, !debugOpts.FPGAPlatform)) 14594c92d92SYinan Xu core_reset_gen.suggestName(s"core_${i}_reset_gen") 14694c92d92SYinan Xu core_with_l2(i).module.reset := core_reset_gen.io.out 1476c4d7a40SYinan Xu core_with_l2(i).module.io.hartId := i.U 1488b037849SYinan Xu } 1498b037849SYinan Xu 1504f94c0c6SJiawei Lin if (l3cacheOpt.nonEmpty) { 1512225d46eSJiawei Lin val l3_reset_gen = Module(new ResetGen(1, !debugOpts.FPGAPlatform)) 15294c92d92SYinan Xu l3_reset_gen.suggestName("l3_reset_gen") 1534f94c0c6SJiawei Lin l3cacheOpt.get.module.reset := l3_reset_gen.io.out 15494c92d92SYinan Xu } 155d4aca96cSlqre 156*73be64b3SJiawei Lin misc.module.debug_module_io.resetCtrl.hartIsInReset.foreach {x => x := childReset.asBool() } 157*73be64b3SJiawei Lin misc.module.debug_module_io.clock := io.clock 158*73be64b3SJiawei Lin misc.module.debug_module_io.reset := io.reset 159d4aca96cSlqre 160*73be64b3SJiawei Lin misc.module.debug_module_io.debugIO.reset := io.systemjtag.reset // TODO: use synchronizer? 161*73be64b3SJiawei Lin misc.module.debug_module_io.debugIO.clock := childClock 162*73be64b3SJiawei Lin misc.module.debug_module_io.debugIO.dmactiveAck := misc.module.debug_module_io.debugIO.dmactive // TODO: delay 3 cycles? 163d4aca96cSlqre // jtag connector 164*73be64b3SJiawei Lin misc.module.debug_module_io.debugIO.systemjtag.foreach { x => 165d4aca96cSlqre x.jtag <> io.systemjtag.jtag 166d4aca96cSlqre x.reset := io.systemjtag.reset 167d4aca96cSlqre x.mfr_id := io.systemjtag.mfr_id 168d4aca96cSlqre x.part_number := io.systemjtag.part_number 169d4aca96cSlqre x.version := io.systemjtag.version 170d4aca96cSlqre } 1718b037849SYinan Xu } 1728b037849SYinan Xu } 1739d5a2027SYinan Xu} 1748b037849SYinan Xu 175afcc4f2aSJiawei Linobject TopMain extends App with HasRocketChipStageUtils { 1768b037849SYinan Xu override def main(args: Array[String]): Unit = { 17745c767e3SLinJiawei val (config, firrtlOpts) = ArgParser.parse(args) 1786564f24dSJiawei Lin val soc = DisableMonitors(p => LazyModule(new XSTop()(p)))(config) 17945c767e3SLinJiawei XiangShanStage.execute(firrtlOpts, Seq( 1808b037849SYinan Xu ChiselGeneratorAnnotation(() => { 1818b037849SYinan Xu soc.module 1828b037849SYinan Xu }) 1838b037849SYinan Xu )) 184afcc4f2aSJiawei Lin ElaborationArtefacts.files.foreach{ case (extension, contents) => 185afcc4f2aSJiawei Lin writeOutputFile("./build", s"XSTop.${extension}", contents()) 186afcc4f2aSJiawei Lin } 1878b037849SYinan Xu } 1888b037849SYinan Xu} 189