1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 178b037849SYinan Xupackage top 188b037849SYinan Xu 198b037849SYinan Xuimport chisel3._ 208b037849SYinan Xuimport chisel3.util._ 218b037849SYinan Xuimport xiangshan._ 2294c92d92SYinan Xuimport utils._ 230d32f713Shappy-lximport huancun.PrefetchRecv 243c02ee8fSwakafaimport utility._ 258b037849SYinan Xuimport system._ 26d4aca96cSlqreimport device._ 278b037849SYinan Xuimport chisel3.stage.ChiselGeneratorAnnotation 288891a219SYinan Xuimport org.chipsalliance.cde.config._ 298b037849SYinan Xuimport freechips.rocketchip.diplomacy._ 308b037849SYinan Xuimport freechips.rocketchip.tilelink._ 31d4aca96cSlqreimport freechips.rocketchip.jtag.JTAGIO 320d32f713Shappy-lximport huancun.{HCCacheParamsKey, HuanCun, HCCacheParameters} 33d4aca96cSlqre 34afcc4f2aSJiawei Linabstract class BaseXSSoc()(implicit p: Parameters) extends LazyModule 35afcc4f2aSJiawei Lin with BindingScope 36afcc4f2aSJiawei Lin{ 3773be64b3SJiawei Lin val misc = LazyModule(new SoCMisc()) 38afcc4f2aSJiawei Lin lazy val dts = DTS(bindingTree) 394f0a2459Swakafa lazy val json = JSON(bindingTree) 408b037849SYinan Xu} 418b037849SYinan Xu 4273be64b3SJiawei Linclass XSTop()(implicit p: Parameters) extends BaseXSSoc() with HasSoCParameter 438b037849SYinan Xu{ 44afcc4f2aSJiawei Lin ResourceBinding { 45afcc4f2aSJiawei Lin val width = ResourceInt(2) 46afcc4f2aSJiawei Lin val model = "freechips,rocketchip-unknown" 47afcc4f2aSJiawei Lin Resource(ResourceAnchors.root, "model").bind(ResourceString(model)) 48afcc4f2aSJiawei Lin Resource(ResourceAnchors.root, "compat").bind(ResourceString(model + "-dev")) 49afcc4f2aSJiawei Lin Resource(ResourceAnchors.soc, "compat").bind(ResourceString(model + "-soc")) 50afcc4f2aSJiawei Lin Resource(ResourceAnchors.root, "width").bind(width) 51afcc4f2aSJiawei Lin Resource(ResourceAnchors.soc, "width").bind(width) 52afcc4f2aSJiawei Lin Resource(ResourceAnchors.cpus, "width").bind(ResourceInt(1)) 53afcc4f2aSJiawei Lin def bindManagers(xbar: TLNexusNode) = { 54afcc4f2aSJiawei Lin ManagerUnification(xbar.edges.in.head.manager.managers).foreach{ manager => 55afcc4f2aSJiawei Lin manager.resources.foreach(r => r.bind(manager.toResource)) 56afcc4f2aSJiawei Lin } 57afcc4f2aSJiawei Lin } 5873be64b3SJiawei Lin bindManagers(misc.l3_xbar.asInstanceOf[TLNexusNode]) 5973be64b3SJiawei Lin bindManagers(misc.peripheralXbar.asInstanceOf[TLNexusNode]) 60afcc4f2aSJiawei Lin } 618b037849SYinan Xu 622225d46eSJiawei Lin println(s"FPGASoC cores: $NumCores banks: $L3NBanks block size: $L3BlockSize bus size: $L3OuterBusWidth") 638b037849SYinan Xu 6434ab1ae9SJiawei Lin val core_with_l2 = tiles.map(coreParams => 6573be64b3SJiawei Lin LazyModule(new XSTile()(p.alterPartial({ 662225d46eSJiawei Lin case XSCoreParamsKey => coreParams 672225d46eSJiawei Lin }))) 682225d46eSJiawei Lin ) 698b037849SYinan Xu 7034ab1ae9SJiawei Lin val l3cacheOpt = soc.L3CacheParamsOpt.map(l3param => 7134ab1ae9SJiawei Lin LazyModule(new HuanCun()(new Config((_, _, _) => { 7234f38695STang Haojin case HCCacheParamsKey => l3param.copy( 7334f38695STang Haojin hartIds = tiles.map(_.HartId), 7434f38695STang Haojin FPGAPlatform = debugOpts.FPGAPlatform 7534f38695STang Haojin ) 7634ab1ae9SJiawei Lin }))) 7734ab1ae9SJiawei Lin ) 7834ab1ae9SJiawei Lin 790d32f713Shappy-lx // recieve all prefetch req from cores 800d32f713Shappy-lx val memblock_pf_recv_nodes: Seq[Option[BundleBridgeSink[PrefetchRecv]]] = core_with_l2.map(_.core_l3_pf_port).map{ 810d32f713Shappy-lx x => x.map(_ => BundleBridgeSink(Some(() => new PrefetchRecv))) 820d32f713Shappy-lx } 830d32f713Shappy-lx 840d32f713Shappy-lx val l3_pf_sender_opt = soc.L3CacheParamsOpt.getOrElse(HCCacheParameters()).prefetch match { 850d32f713Shappy-lx case Some(pf) => Some(BundleBridgeSource(() => new PrefetchRecv)) 860d32f713Shappy-lx case None => None 870d32f713Shappy-lx } 880d32f713Shappy-lx 898b037849SYinan Xu for (i <- 0 until NumCores) { 90*4e12f40bSzhanglinjuan core_with_l2(i).clint_int_node := misc.clint.intnode 91*4e12f40bSzhanglinjuan core_with_l2(i).plic_int_node :*= misc.plic.intnode 92*4e12f40bSzhanglinjuan core_with_l2(i).debug_int_node := misc.debugModule.debug.dmOuter.dmOuter.intnode 93cac098b4SJiawei Lin misc.plic.intnode := IntBuffer() := core_with_l2(i).beu_int_source 9473be64b3SJiawei Lin misc.peripheral_ports(i) := core_with_l2(i).uncache 9573be64b3SJiawei Lin misc.core_to_l3_ports(i) :=* core_with_l2(i).memory_port 960d32f713Shappy-lx memblock_pf_recv_nodes(i).map(recv => { 970d32f713Shappy-lx println(s"Connecting Core_${i}'s L1 pf source to L3!") 980d32f713Shappy-lx recv := core_with_l2(i).core_l3_pf_port.get 990d32f713Shappy-lx }) 1008b037849SYinan Xu } 1018b037849SYinan Xu 10234ab1ae9SJiawei Lin l3cacheOpt.map(_.ctlnode.map(_ := misc.peripheralXbar)) 10338005240SJiawei Lin l3cacheOpt.map(_.intnode.map(int => { 10438005240SJiawei Lin misc.plic.intnode := IntBuffer() := int 10538005240SJiawei Lin })) 10634ab1ae9SJiawei Lin 10734ab1ae9SJiawei Lin val core_rst_nodes = if(l3cacheOpt.nonEmpty && l3cacheOpt.get.rst_nodes.nonEmpty){ 10834ab1ae9SJiawei Lin l3cacheOpt.get.rst_nodes.get 10934ab1ae9SJiawei Lin } else { 1108a167be7SHaojin Tang core_with_l2.map(_ => BundleBridgeSource(() => Reset())) 11134ab1ae9SJiawei Lin } 11234ab1ae9SJiawei Lin 11334ab1ae9SJiawei Lin core_rst_nodes.zip(core_with_l2.map(_.core_reset_sink)).foreach({ 11434ab1ae9SJiawei Lin case (source, sink) => sink := source 11534ab1ae9SJiawei Lin }) 116a1ea7f76SJiawei Lin 1174f94c0c6SJiawei Lin l3cacheOpt match { 1184f94c0c6SJiawei Lin case Some(l3) => 11914dc2851Swakafa misc.l3_out :*= l3.node :*= misc.l3_banked_xbar 1200d32f713Shappy-lx l3.pf_recv_node.map(recv => { 1210d32f713Shappy-lx println("Connecting L1 prefetcher to L3!") 1220d32f713Shappy-lx recv := l3_pf_sender_opt.get 1230d32f713Shappy-lx }) 12473be64b3SJiawei Lin case None => 1259d5a2027SYinan Xu } 1268b037849SYinan Xu 127935edac4STang Haojin class XSTopImp(wrapper: LazyModule) extends LazyRawModuleImp(wrapper) { 128876196b7SMaxpicca-Li FileRegisters.add("dts", dts) 129876196b7SMaxpicca-Li FileRegisters.add("graphml", graphML) 130876196b7SMaxpicca-Li FileRegisters.add("json", json) 131876196b7SMaxpicca-Li FileRegisters.add("plusArgs", freechips.rocketchip.util.PlusArgArtefacts.serialize_cHeader()) 1324f0a2459Swakafa 13373be64b3SJiawei Lin val dma = IO(Flipped(misc.dma.cloneType)) 13473be64b3SJiawei Lin val peripheral = IO(misc.peripheral.cloneType) 13573be64b3SJiawei Lin val memory = IO(misc.memory.cloneType) 13673be64b3SJiawei Lin 13773be64b3SJiawei Lin misc.dma <> dma 13873be64b3SJiawei Lin peripheral <> misc.peripheral 13973be64b3SJiawei Lin memory <> misc.memory 14073be64b3SJiawei Lin 1418b037849SYinan Xu val io = IO(new Bundle { 14294c92d92SYinan Xu val clock = Input(Bool()) 14367ba96b4SYinan Xu val reset = Input(AsyncReset()) 14434ab1ae9SJiawei Lin val sram_config = Input(UInt(16.W)) 1458b037849SYinan Xu val extIntrs = Input(UInt(NrExtIntr.W)) 14634ab1ae9SJiawei Lin val pll0_lock = Input(Bool()) 14734ab1ae9SJiawei Lin val pll0_ctrl = Output(Vec(6, UInt(32.W))) 148d4aca96cSlqre val systemjtag = new Bundle { 149d4aca96cSlqre val jtag = Flipped(new JTAGIO(hasTRSTn = false)) 15067ba96b4SYinan Xu val reset = Input(AsyncReset()) // No reset allowed on top 151d4aca96cSlqre val mfr_id = Input(UInt(11.W)) 152d4aca96cSlqre val part_number = Input(UInt(16.W)) 153d4aca96cSlqre val version = Input(UInt(4.W)) 154d4aca96cSlqre } 15577bc15a2SYinan Xu val debug_reset = Output(Bool()) 1569e56439dSHazard val rtc_clock = Input(Bool()) 15798c71602SJiawei Lin val cacheable_check = new TLPMAIO() 158b6900d94SYinan Xu val riscv_halt = Output(Vec(NumCores, Bool())) 159c4b44470SGuokai Chen val riscv_rst_vec = Input(Vec(NumCores, UInt(38.W))) 1608b037849SYinan Xu }) 16167ba96b4SYinan Xu 16267ba96b4SYinan Xu val reset_sync = withClockAndReset(io.clock.asClock, io.reset) { ResetGen() } 16367ba96b4SYinan Xu val jtag_reset_sync = withClockAndReset(io.systemjtag.jtag.TCK, io.systemjtag.reset) { ResetGen() } 16467ba96b4SYinan Xu 16577bc15a2SYinan Xu // override LazyRawModuleImp's clock and reset 16677bc15a2SYinan Xu childClock := io.clock.asClock 16767ba96b4SYinan Xu childReset := reset_sync 16877bc15a2SYinan Xu 16977bc15a2SYinan Xu // output 17077bc15a2SYinan Xu io.debug_reset := misc.module.debug_module_io.debugIO.ndreset 17177bc15a2SYinan Xu 17277bc15a2SYinan Xu // input 17308bf93ffSrvcoresjw dontTouch(dma) 17408bf93ffSrvcoresjw dontTouch(io) 17508bf93ffSrvcoresjw dontTouch(peripheral) 17608bf93ffSrvcoresjw dontTouch(memory) 17773be64b3SJiawei Lin misc.module.ext_intrs := io.extIntrs 1789e56439dSHazard misc.module.rtc_clock := io.rtc_clock 17934ab1ae9SJiawei Lin misc.module.pll0_lock := io.pll0_lock 18098c71602SJiawei Lin misc.module.cacheable_check <> io.cacheable_check 18134ab1ae9SJiawei Lin 18234ab1ae9SJiawei Lin io.pll0_ctrl <> misc.module.pll0_ctrl 183c0bc1ee4SYinan Xu 18477bc15a2SYinan Xu for ((core, i) <- core_with_l2.zipWithIndex) { 18577bc15a2SYinan Xu core.module.io.hartId := i.U 186b6900d94SYinan Xu io.riscv_halt(i) := core.module.io.cpu_halt 187c4b44470SGuokai Chen core.module.io.reset_vector := io.riscv_rst_vec(i) 1888b037849SYinan Xu } 1898b037849SYinan Xu 19034ab1ae9SJiawei Lin if(l3cacheOpt.isEmpty || l3cacheOpt.get.rst_nodes.isEmpty){ 19134ab1ae9SJiawei Lin // tie off core soft reset 19234ab1ae9SJiawei Lin for(node <- core_rst_nodes){ 193935edac4STang Haojin node.out.head._1 := false.B.asAsyncReset 19434ab1ae9SJiawei Lin } 19534ab1ae9SJiawei Lin } 19634ab1ae9SJiawei Lin 19760ebee38STang Haojin l3cacheOpt match { 19860ebee38STang Haojin case Some(l3) => 1990d32f713Shappy-lx l3.pf_recv_node match { 2000d32f713Shappy-lx case Some(recv) => 2010d32f713Shappy-lx l3_pf_sender_opt.get.out.head._1.addr_valid := VecInit(memblock_pf_recv_nodes.map(_.get.in.head._1.addr_valid)).asUInt.orR 2020d32f713Shappy-lx for (i <- 0 until NumCores) { 2030d32f713Shappy-lx when(memblock_pf_recv_nodes(i).get.in.head._1.addr_valid) { 2040d32f713Shappy-lx l3_pf_sender_opt.get.out.head._1.addr := memblock_pf_recv_nodes(i).get.in.head._1.addr 2050d32f713Shappy-lx l3_pf_sender_opt.get.out.head._1.l2_pf_en := memblock_pf_recv_nodes(i).get.in.head._1.l2_pf_en 2060d32f713Shappy-lx } 2070d32f713Shappy-lx } 20860ebee38STang Haojin case None => 2090d32f713Shappy-lx } 21060ebee38STang Haojin l3.module.io.debugTopDown.robHeadPaddr := core_with_l2.map(_.module.io.debugTopDown.robHeadPaddr) 21160ebee38STang Haojin core_with_l2.zip(l3.module.io.debugTopDown.addrMatch).foreach { case (tile, l3Match) => tile.module.io.debugTopDown.l3MissMatch := l3Match } 21260ebee38STang Haojin case None => core_with_l2.foreach(_.module.io.debugTopDown.l3MissMatch := false.B) 21360ebee38STang Haojin } 2140d32f713Shappy-lx 21577bc15a2SYinan Xu misc.module.debug_module_io.resetCtrl.hartIsInReset := core_with_l2.map(_.module.reset.asBool) 21673be64b3SJiawei Lin misc.module.debug_module_io.clock := io.clock 21767ba96b4SYinan Xu misc.module.debug_module_io.reset := reset_sync 218d4aca96cSlqre 21967ba96b4SYinan Xu misc.module.debug_module_io.debugIO.reset := misc.module.reset 22077bc15a2SYinan Xu misc.module.debug_module_io.debugIO.clock := io.clock.asClock 22177bc15a2SYinan Xu // TODO: delay 3 cycles? 22277bc15a2SYinan Xu misc.module.debug_module_io.debugIO.dmactiveAck := misc.module.debug_module_io.debugIO.dmactive 223d4aca96cSlqre // jtag connector 22473be64b3SJiawei Lin misc.module.debug_module_io.debugIO.systemjtag.foreach { x => 225d4aca96cSlqre x.jtag <> io.systemjtag.jtag 22667ba96b4SYinan Xu x.reset := jtag_reset_sync 227d4aca96cSlqre x.mfr_id := io.systemjtag.mfr_id 228d4aca96cSlqre x.part_number := io.systemjtag.part_number 229d4aca96cSlqre x.version := io.systemjtag.version 230d4aca96cSlqre } 23177bc15a2SYinan Xu 23267ba96b4SYinan Xu withClockAndReset(io.clock.asClock, reset_sync) { 23377bc15a2SYinan Xu // Modules are reset one by one 23425cb35b6SJiawei Lin // reset ----> SYNC --> {SoCMisc, L3 Cache, Cores} 23525cb35b6SJiawei Lin val resetChain = Seq(Seq(misc.module) ++ l3cacheOpt.map(_.module) ++ core_with_l2.map(_.module)) 23667ba96b4SYinan Xu ResetGen(resetChain, reset_sync, !debugOpts.FPGAPlatform) 2378b037849SYinan Xu } 23877bc15a2SYinan Xu 2398b037849SYinan Xu } 240935edac4STang Haojin 241935edac4STang Haojin lazy val module = new XSTopImp(this) 2429d5a2027SYinan Xu} 2438b037849SYinan Xu 244935edac4STang Haojinobject TopMain extends App { 24551e45dbbSTang Haojin val (config, firrtlOpts, firtoolOpts) = ArgParser.parse(args) 24693610df3SMaxpicca-Li 24793610df3SMaxpicca-Li // tools: init to close dpi-c when in fpga 24893610df3SMaxpicca-Li val envInFPGA = config(DebugOptionsKey).FPGAPlatform 24962129679Swakafa val enableChiselDB = config(DebugOptionsKey).EnableChiselDB 250047e34f9SMaxpicca-Li val enableConstantin = config(DebugOptionsKey).EnableConstantin 251047e34f9SMaxpicca-Li Constantin.init(enableConstantin && !envInFPGA) 25262129679Swakafa ChiselDB.init(enableChiselDB && !envInFPGA) 25393610df3SMaxpicca-Li 2546564f24dSJiawei Lin val soc = DisableMonitors(p => LazyModule(new XSTop()(p)))(config) 25551e45dbbSTang Haojin Generator.execute(firrtlOpts, soc.module, firtoolOpts) 256876196b7SMaxpicca-Li FileRegisters.write(fileDir = "./build", filePrefix = "XSTop.") 2578b037849SYinan Xu} 258