xref: /XiangShan/src/main/scala/system/SoC.scala (revision f432c81432cd52e0a7e5477cdec174a34d3a634b)
1package system
2
3import chipsalliance.rocketchip.config.Parameters
4import device.{AXI4Plic, AXI4Timer, TLTimer}
5import chisel3._
6import chisel3.util._
7import freechips.rocketchip.diplomacy.{AddressSet, LazyModule, LazyModuleImp}
8import freechips.rocketchip.tilelink.{BankBinder, TLBuffer, TLBundleParameters, TLCacheCork, TLClientNode, TLFilter, TLFuzzer, TLIdentityNode, TLToAXI4, TLWidthWidget, TLXbar}
9import utils.{DataDontCareNode, DebugIdentityNode}
10import utils.XSInfo
11import xiangshan.{DifftestBundle, HasXSLog, HasXSParameter, XSBundle, XSCore}
12import xiangshan.cache.prefetch._
13import sifive.blocks.inclusivecache.{CacheParameters, InclusiveCache, InclusiveCacheMicroParameters}
14import freechips.rocketchip.diplomacy.{AddressSet, LazyModule, LazyModuleImp}
15import freechips.rocketchip.devices.tilelink.{DevNullParams, TLError}
16import freechips.rocketchip.amba.axi4.{AXI4Deinterleaver, AXI4Fragmenter, AXI4IdIndexer, AXI4IdentityNode, AXI4ToTL, AXI4UserYanker}
17import freechips.rocketchip.diplomaticobjectmodel.logicaltree.GenericLogicalTreeNode
18import freechips.rocketchip.interrupts.{IntSinkNode, IntSinkParameters, IntSinkPortParameters, IntSinkPortSimple}
19import freechips.rocketchip.tile.{BusErrorUnit, BusErrorUnitParams, BusErrors, L1BusErrors}
20
21case class SoCParameters
22(
23  NumCores: Integer = 1,
24  EnableILA: Boolean = false,
25  HasL2Cache: Boolean = false,
26  HasPrefetch: Boolean = false
27)
28
29trait HasSoCParameter extends HasXSParameter{
30  val soc = top.Parameters.get.socParameters
31  val NumCores = soc.NumCores
32  val EnableILA = soc.EnableILA
33  val HasL2cache = soc.HasL2Cache
34  val HasPrefetch = soc.HasPrefetch
35}
36
37class ILABundle extends Bundle {}
38
39
40class L1CacheErrorInfo extends XSBundle{
41  val paddr = Valid(UInt(PAddrBits.W))
42  // for now, we only detect ecc
43  val ecc_error = Valid(Bool())
44}
45
46class XSL1BusErrors(val nCores: Int) extends  BusErrors {
47  val icache = Vec(nCores, new L1CacheErrorInfo)
48  val l1plus = Vec(nCores, new L1CacheErrorInfo)
49  val dcache = Vec(nCores, new L1CacheErrorInfo)
50
51  override def toErrorList: List[Option[(ValidIO[UInt], String, String)]] =
52    List.tabulate(nCores){i =>
53      List(
54        Some(icache(i).paddr, s"IBUS_$i", s"Icache_$i bus error"),
55        Some(icache(i).ecc_error, s"I_ECC_$i", s"Icache_$i ecc error"),
56        Some(l1plus(i).paddr, s"L1PLUS_$i", s"L1PLUS_$i bus error"),
57        Some(l1plus(i).ecc_error, s"L1PLUS_ECC_$i", s"L1PLUS_$i ecc error"),
58        Some(dcache(i).paddr, s"DBUS_$i", s"Dcache_$i bus error"),
59        Some(dcache(i).ecc_error, s"D_ECC_$i", s"Dcache_$i ecc error")
60      )
61    }.flatten
62}
63
64class XSSoc()(implicit p: Parameters) extends LazyModule with HasSoCParameter {
65  // CPU Cores
66  private val xs_core = Seq.fill(NumCores)(LazyModule(new XSCore()))
67
68  // L1 to L2 network
69  // -------------------------------------------------
70  private val l2_xbar = Seq.fill(NumCores)(TLXbar())
71
72  private val l2cache = Seq.fill(NumCores)(LazyModule(new InclusiveCache(
73    CacheParameters(
74      level = 2,
75      ways = L2NWays,
76      sets = L2NSets,
77      blockBytes = L2BlockSize,
78      beatBytes = L1BusWidth / 8, // beatBytes = l1BusDataWidth / 8
79      cacheName = s"L2"
80    ),
81    InclusiveCacheMicroParameters(
82      writeBytes = 32
83    )
84  )))
85
86  private val l2prefetcher = Seq.fill(NumCores)(LazyModule(new L2Prefetcher()))
87
88  // L2 to L3 network
89  // -------------------------------------------------
90  private val l3_xbar = TLXbar()
91
92  private val l3_node = LazyModule(new InclusiveCache(
93    CacheParameters(
94      level = 3,
95      ways = L3NWays,
96      sets = L3NSets,
97      blockBytes = L3BlockSize,
98      beatBytes = L2BusWidth / 8,
99      cacheName = "L3"
100    ),
101    InclusiveCacheMicroParameters(
102      writeBytes = 32
103    )
104  )).node
105
106  // L3 to memory network
107  // -------------------------------------------------
108  private val memory_xbar = TLXbar()
109  private val mmioXbar = TLXbar()
110
111  // only mem, dma and extDev are visible externally
112  val mem = Seq.fill(L3NBanks)(AXI4IdentityNode())
113  val dma = AXI4IdentityNode()
114  val extDev = AXI4IdentityNode()
115
116  // connections
117  // -------------------------------------------------
118  for (i <- 0 until NumCores) {
119    l2_xbar(i) := TLBuffer() := DebugIdentityNode() := xs_core(i).memBlock.dcache.clientNode
120    l2_xbar(i) := TLBuffer() := DebugIdentityNode() := xs_core(i).l1pluscache.clientNode
121    l2_xbar(i) := TLBuffer() := DebugIdentityNode() := xs_core(i).ptw.node
122    l2_xbar(i) := TLBuffer() := DebugIdentityNode() := l2prefetcher(i).clientNode
123
124    mmioXbar   := TLBuffer() := DebugIdentityNode() := xs_core(i).memBlock.uncache.clientNode
125    mmioXbar   := TLBuffer() := DebugIdentityNode() := xs_core(i).frontend.instrUncache.clientNode
126    l2cache(i).node := DataDontCareNode(a = true, b = true) := TLBuffer() := DebugIdentityNode() := l2_xbar(i)
127    l3_xbar := TLBuffer() := DebugIdentityNode() := l2cache(i).node
128  }
129
130  // DMA should not go to MMIO
131  val mmioRange = AddressSet(base = 0x0000000000L, mask = 0x007fffffffL)
132  // AXI4ToTL needs a TLError device to route error requests,
133  // add one here to make it happy.
134  val tlErrorParams = DevNullParams(
135    address = Seq(mmioRange),
136    maxAtomic = 8,
137    maxTransfer = 64)
138  val tlError = LazyModule(new TLError(params = tlErrorParams, beatBytes = L2BusWidth / 8))
139  private val tlError_xbar = TLXbar()
140  tlError_xbar :=
141    AXI4ToTL() :=
142    AXI4UserYanker(Some(1)) :=
143    AXI4Fragmenter() :=
144    AXI4IdIndexer(1) :=
145    dma
146  tlError.node := tlError_xbar
147
148  l3_xbar :=
149    TLBuffer() :=
150    DebugIdentityNode() :=
151    tlError_xbar
152
153  val bankedNode =
154    BankBinder(L3NBanks, L3BlockSize) :*= l3_node :*= TLBuffer() :*= DebugIdentityNode() :*= l3_xbar
155
156  for(i <- 0 until L3NBanks) {
157    mem(i) :=
158      AXI4UserYanker() :=
159      TLToAXI4() :=
160      TLWidthWidget(L3BusWidth / 8) :=
161      TLBuffer() :=
162      TLCacheCork() :=
163      bankedNode
164  }
165
166  private val clint = LazyModule(new TLTimer(
167    Seq(AddressSet(0x38000000L, 0x0000ffffL)),
168    sim = !env.FPGAPlatform
169  ))
170
171  clint.node := mmioXbar
172  extDev := AXI4UserYanker() := TLToAXI4() := mmioXbar
173
174  val fakeTreeNode = new GenericLogicalTreeNode
175
176  val beu = LazyModule(
177    new BusErrorUnit(new XSL1BusErrors(NumCores), BusErrorUnitParams(0x38010000), fakeTreeNode))
178  beu.node := mmioXbar
179
180  class BeuSinkNode()(implicit p: Parameters) extends LazyModule {
181    val intSinkNode = IntSinkNode(IntSinkPortSimple())
182    lazy val module = new LazyModuleImp(this){
183      val interrupt = IO(Output(Bool()))
184      interrupt := intSinkNode.in.head._1.head
185    }
186  }
187  val beuSink = LazyModule(new BeuSinkNode())
188  beuSink.intSinkNode := beu.intNode
189
190  val plic = LazyModule(new AXI4Plic(
191    Seq(AddressSet(0x3c000000L, 0x03ffffffL)),
192    sim = !env.FPGAPlatform
193  ))
194  plic.node := AXI4UserYanker() := TLToAXI4() := mmioXbar
195
196  lazy val module = new LazyModuleImp(this){
197    val io = IO(new Bundle{
198      val extIntrs = Input(UInt(NrExtIntr.W))
199      // val meip = Input(Vec(NumCores, Bool()))
200      val ila = if(env.FPGAPlatform && EnableILA) Some(Output(new ILABundle)) else None
201    })
202    val difftestIO0 = IO(new DifftestBundle())
203    val difftestIO1 = IO(new DifftestBundle())
204    val difftestIO = Seq(difftestIO0, difftestIO1)
205
206    val trapIO0 = IO(new xiangshan.TrapIO())
207    val trapIO1 = IO(new xiangshan.TrapIO())
208    val trapIO = Seq(trapIO0, trapIO1)
209
210    plic.module.io.extra.get.intrVec <> RegNext(beuSink.module.interrupt)
211
212    for (i <- 0 until NumCores) {
213      xs_core(i).module.io.hartId := i.U
214      xs_core(i).module.io.externalInterrupt.mtip := clint.module.io.mtip(i)
215      xs_core(i).module.io.externalInterrupt.msip := clint.module.io.msip(i)
216      beu.module.io.errors.l1plus(i) := RegNext(xs_core(i).module.io.l1plus_error)
217      beu.module.io.errors.icache(i) := RegNext(xs_core(i).module.io.icache_error)
218      beu.module.io.errors.dcache(i) := RegNext(xs_core(i).module.io.dcache_error)
219      // xs_core(i).module.io.externalInterrupt.meip := RegNext(RegNext(io.meip(i)))
220      xs_core(i).module.io.externalInterrupt.meip := plic.module.io.extra.get.meip(i)
221      l2prefetcher(i).module.io.enable := RegNext(xs_core(i).module.io.l2_pf_enable)
222      l2prefetcher(i).module.io.in <> l2cache(i).module.io
223    }
224
225    difftestIO0 <> xs_core(0).module.difftestIO
226    difftestIO1 <> DontCare
227    trapIO0 <> xs_core(0).module.trapIO
228    trapIO1 <> DontCare
229
230    if (env.DualCore) {
231      difftestIO1 <> xs_core(1).module.difftestIO
232      trapIO1 <> xs_core(1).module.trapIO
233    }
234    // do not let dma AXI signals optimized out
235    dontTouch(dma.out.head._1)
236    dontTouch(extDev.out.head._1)
237    dontTouch(io.extIntrs)
238  }
239
240}
241