xref: /XiangShan/src/main/scala/system/SoC.scala (revision e2725c9e5f8ac715193d1d4e3e6f6e2f3407e4dd)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
17006e1884SZihao Yupackage system
18006e1884SZihao Yu
198891a219SYinan Xuimport org.chipsalliance.cde.config.{Field, Parameters}
20006e1884SZihao Yuimport chisel3._
21096ea47eSzhanglinjuanimport chisel3.util._
2298c71602SJiawei Linimport device.{DebugModule, TLPMA, TLPMAIO}
236695f071SYinan Xuimport freechips.rocketchip.amba.axi4._
246695f071SYinan Xuimport freechips.rocketchip.devices.tilelink._
2573be64b3SJiawei Linimport freechips.rocketchip.diplomacy.{AddressSet, IdRange, InModuleBody, LazyModule, LazyModuleImp, MemoryDevice, RegionType, SimpleDevice, TransferSizes}
2673be64b3SJiawei Linimport freechips.rocketchip.interrupts.{IntSourceNode, IntSourcePortSimple}
276695f071SYinan Xuimport freechips.rocketchip.regmapper.{RegField, RegFieldDesc, RegFieldGroup}
2898c71602SJiawei Linimport freechips.rocketchip.tilelink._
298537b88aSTang Haojinimport freechips.rocketchip.util.AsyncQueueParams
3098c71602SJiawei Linimport huancun._
316695f071SYinan Xuimport top.BusPerfMonitor
326695f071SYinan Xuimport utility.{ReqSourceKey, TLClientsMerger, TLEdgeBuffer, TLLogger}
336695f071SYinan Xuimport xiangshan.backend.fu.PMAConst
346695f071SYinan Xuimport xiangshan.{DebugOptionsKey, XSTileKey}
354b40434cSzhanglinjuanimport coupledL2.EnableCHI
368537b88aSTang Haojinimport coupledL2.tl2chi.CHIIssue
37a428082bSLinJiawei
382225d46eSJiawei Lincase object SoCParamsKey extends Field[SoCParameters]
392225d46eSJiawei Lin
40a428082bSLinJiaweicase class SoCParameters
41a428082bSLinJiawei(
42a428082bSLinJiawei  EnableILA: Boolean = false,
433ea4388cSHaoyuan Feng  PAddrBits: Int = 48,
44c679fdb3Srvcoresjw  extIntrs: Int = 64,
45a1ea7f76SJiawei Lin  L3NBanks: Int = 4,
464f94c0c6SJiawei Lin  L3CacheParamsOpt: Option[HCCacheParameters] = Some(HCCacheParameters(
47d2b20d1aSTang Haojin    name = "L3",
48a1ea7f76SJiawei Lin    level = 3,
49a1ea7f76SJiawei Lin    ways = 8,
50a1ea7f76SJiawei Lin    sets = 2048 // 1MB per bank
51a5b77de4STang Haojin  )),
524b40434cSzhanglinjuan  XSTopPrefix: Option[String] = None,
538537b88aSTang Haojin  NodeIDWidthList: Map[String, Int] = Map(
548537b88aSTang Haojin    "B" -> 7,
558537b88aSTang Haojin    "E.b" -> 11
568537b88aSTang Haojin  ),
57007f6122SXuan Hu  NumHart: Int = 64,
58007f6122SXuan Hu  NumIRFiles: Int = 7,
59007f6122SXuan Hu  NumIRSrc: Int = 256,
60720dd621STang Haojin  UseXSNoCTop: Boolean = false,
61007f6122SXuan Hu  IMSICUseTL: Boolean = false,
62*e2725c9eSzhanglinjuan  EnableCHIAsyncBridge: Option[AsyncQueueParams] = Some(AsyncQueueParams(depth = 4, sync = 3)),
63*e2725c9eSzhanglinjuan  EnableClintAsyncBridge: Option[AsyncQueueParams] = Some(AsyncQueueParams(1))
642225d46eSJiawei Lin){
652225d46eSJiawei Lin  // L3 configurations
662225d46eSJiawei Lin  val L3InnerBusWidth = 256
672225d46eSJiawei Lin  val L3BlockSize = 64
682225d46eSJiawei Lin  // on chip network configurations
692225d46eSJiawei Lin  val L3OuterBusWidth = 256
702225d46eSJiawei Lin}
712225d46eSJiawei Lin
722225d46eSJiawei Lintrait HasSoCParameter {
732225d46eSJiawei Lin  implicit val p: Parameters
742225d46eSJiawei Lin
752225d46eSJiawei Lin  val soc = p(SoCParamsKey)
762225d46eSJiawei Lin  val debugOpts = p(DebugOptionsKey)
7734ab1ae9SJiawei Lin  val tiles = p(XSTileKey)
7878a8cd25Szhanglinjuan  val enableCHI = p(EnableCHI)
798537b88aSTang Haojin  val issue = p(CHIIssue)
8034ab1ae9SJiawei Lin
8134ab1ae9SJiawei Lin  val NumCores = tiles.size
82a428082bSLinJiawei  val EnableILA = soc.EnableILA
832225d46eSJiawei Lin
842225d46eSJiawei Lin  // L3 configurations
852225d46eSJiawei Lin  val L3InnerBusWidth = soc.L3InnerBusWidth
862225d46eSJiawei Lin  val L3BlockSize = soc.L3BlockSize
872225d46eSJiawei Lin  val L3NBanks = soc.L3NBanks
882225d46eSJiawei Lin
892225d46eSJiawei Lin  // on chip network configurations
902225d46eSJiawei Lin  val L3OuterBusWidth = soc.L3OuterBusWidth
912225d46eSJiawei Lin
922225d46eSJiawei Lin  val NrExtIntr = soc.extIntrs
93007f6122SXuan Hu
94007f6122SXuan Hu  val SetIpNumValidSize = soc.NumHart * soc.NumIRFiles
95007f6122SXuan Hu
96007f6122SXuan Hu  val NumIRSrc = soc.NumIRSrc
97*e2725c9eSzhanglinjuan
98*e2725c9eSzhanglinjuan  val EnableCHIAsyncBridge = if (enableCHI && soc.EnableCHIAsyncBridge.isDefined)
99*e2725c9eSzhanglinjuan    soc.EnableCHIAsyncBridge else None
100*e2725c9eSzhanglinjuan  val EnableClintAsyncBridge = soc.EnableClintAsyncBridge
101303b861dSZihao Yu}
102303b861dSZihao Yu
1031e3fad10SLinJiaweiclass ILABundle extends Bundle {}
104303b861dSZihao Yu
1053e586e47Slinjiawei
10673be64b3SJiawei Linabstract class BaseSoC()(implicit p: Parameters) extends LazyModule with HasSoCParameter {
10778a8cd25Szhanglinjuan  val bankedNode = Option.when(!enableCHI)(BankBinder(L3NBanks, L3BlockSize))
10878a8cd25Szhanglinjuan  val peripheralXbar = Option.when(!enableCHI)(TLXbar())
1091bf9a05aSzhanglinjuan  val l3_xbar = Option.when(!enableCHI)(TLXbar())
1101bf9a05aSzhanglinjuan  val l3_banked_xbar = Option.when(!enableCHI)(TLXbar())
11178a8cd25Szhanglinjuan
1121bf9a05aSzhanglinjuan  val soc_xbar = Option.when(enableCHI)(AXI4Xbar())
1133e586e47Slinjiawei}
1143e586e47Slinjiawei
11573be64b3SJiawei Lin// We adapt the following three traits from rocket-chip.
11673be64b3SJiawei Lin// Source: rocket-chip/src/main/scala/subsystem/Ports.scala
11773be64b3SJiawei Lintrait HaveSlaveAXI4Port {
11873be64b3SJiawei Lin  this: BaseSoC =>
1199637c0c6SLinJiawei
12073be64b3SJiawei Lin  val idBits = 14
12173be64b3SJiawei Lin
12273be64b3SJiawei Lin  val l3FrontendAXI4Node = AXI4MasterNode(Seq(AXI4MasterPortParameters(
12373be64b3SJiawei Lin    Seq(AXI4MasterParameters(
12473be64b3SJiawei Lin      name = "dma",
12573be64b3SJiawei Lin      id = IdRange(0, 1 << idBits)
12673be64b3SJiawei Lin    ))
12773be64b3SJiawei Lin  )))
1281bf9a05aSzhanglinjuan
1291bf9a05aSzhanglinjuan  if (l3_xbar.isDefined) {
1301bf9a05aSzhanglinjuan    val errorDevice = LazyModule(new TLError(
13173be64b3SJiawei Lin      params = DevNullParams(
13273be64b3SJiawei Lin        address = Seq(AddressSet(0x0, 0x7fffffffL)),
13373be64b3SJiawei Lin        maxAtomic = 8,
13473be64b3SJiawei Lin        maxTransfer = 64),
13573be64b3SJiawei Lin      beatBytes = L3InnerBusWidth / 8
13673be64b3SJiawei Lin    ))
1371bf9a05aSzhanglinjuan    errorDevice.node :=
1381bf9a05aSzhanglinjuan      l3_xbar.get :=
13973be64b3SJiawei Lin      TLFIFOFixer() :=
14008bf93ffSrvcoresjw      TLWidthWidget(32) :=
14173be64b3SJiawei Lin      AXI4ToTL() :=
14273be64b3SJiawei Lin      AXI4UserYanker(Some(1)) :=
14373be64b3SJiawei Lin      AXI4Fragmenter() :=
144be340b14SJiawei Lin      AXI4Buffer() :=
145be340b14SJiawei Lin      AXI4Buffer() :=
14673be64b3SJiawei Lin      AXI4IdIndexer(1) :=
14773be64b3SJiawei Lin      l3FrontendAXI4Node
1481bf9a05aSzhanglinjuan  }
14973be64b3SJiawei Lin
15073be64b3SJiawei Lin  val dma = InModuleBody {
15173be64b3SJiawei Lin    l3FrontendAXI4Node.makeIOs()
15273be64b3SJiawei Lin  }
15373be64b3SJiawei Lin}
15473be64b3SJiawei Lin
15573be64b3SJiawei Lintrait HaveAXI4MemPort {
15673be64b3SJiawei Lin  this: BaseSoC =>
15773be64b3SJiawei Lin  val device = new MemoryDevice
1583ea4388cSHaoyuan Feng  // 48-bit physical address
1593ea4388cSHaoyuan Feng  val memRange = AddressSet(0x00000000L, 0xffffffffffffL).subtract(AddressSet(0x0L, 0x7fffffffL))
16073be64b3SJiawei Lin  val memAXI4SlaveNode = AXI4SlaveNode(Seq(
16173be64b3SJiawei Lin    AXI4SlavePortParameters(
16273be64b3SJiawei Lin      slaves = Seq(
16373be64b3SJiawei Lin        AXI4SlaveParameters(
16473be64b3SJiawei Lin          address = memRange,
16573be64b3SJiawei Lin          regionType = RegionType.UNCACHED,
16673be64b3SJiawei Lin          executable = true,
16773be64b3SJiawei Lin          supportsRead = TransferSizes(1, L3BlockSize),
16873be64b3SJiawei Lin          supportsWrite = TransferSizes(1, L3BlockSize),
16973be64b3SJiawei Lin          interleavedId = Some(0),
17073be64b3SJiawei Lin          resources = device.reg("mem")
1710584d3a8SLinJiawei        )
17273be64b3SJiawei Lin      ),
1736695f071SYinan Xu      beatBytes = L3OuterBusWidth / 8,
1746695f071SYinan Xu      requestKeys = if (debugOpts.FPGAPlatform) Seq() else Seq(ReqSourceKey),
17573be64b3SJiawei Lin    )
17673be64b3SJiawei Lin  ))
17773be64b3SJiawei Lin
17873be64b3SJiawei Lin  val mem_xbar = TLXbar()
17978a8cd25Szhanglinjuan  val l3_mem_pmu = BusPerfMonitor(name = "L3_Mem", enable = !debugOpts.FPGAPlatform && !enableCHI, stat_latency = true)
18078a8cd25Szhanglinjuan  val axi4mem_node = AXI4IdentityNode()
18178a8cd25Szhanglinjuan
18278a8cd25Szhanglinjuan  if (enableCHI) {
18378a8cd25Szhanglinjuan    axi4mem_node :=
1841bf9a05aSzhanglinjuan      soc_xbar.get
18578a8cd25Szhanglinjuan  } else {
18629230e82SJiawei Lin    mem_xbar :=*
187d2b20d1aSTang Haojin      TLBuffer.chainNode(2) :=
188d2b20d1aSTang Haojin      TLCacheCork() :=
189d2b20d1aSTang Haojin      l3_mem_pmu :=
190d2b20d1aSTang Haojin      TLClientsMerger() :=
19129230e82SJiawei Lin      TLXbar() :=*
19278a8cd25Szhanglinjuan      bankedNode.get
19329230e82SJiawei Lin
19429230e82SJiawei Lin    mem_xbar :=
19529230e82SJiawei Lin      TLWidthWidget(8) :=
196b7291c09SJiawei Lin      TLBuffer.chainNode(3, name = Some("PeripheralXbar_to_MemXbar_buffer")) :=
19778a8cd25Szhanglinjuan      peripheralXbar.get
19878a8cd25Szhanglinjuan
19978a8cd25Szhanglinjuan    axi4mem_node :=
20078a8cd25Szhanglinjuan      TLToAXI4() :=
20178a8cd25Szhanglinjuan      TLSourceShrinker(64) :=
20278a8cd25Szhanglinjuan      TLWidthWidget(L3OuterBusWidth / 8) :=
20378a8cd25Szhanglinjuan      TLBuffer.chainNode(2) :=
20478a8cd25Szhanglinjuan      mem_xbar
20578a8cd25Szhanglinjuan  }
20629230e82SJiawei Lin
20729230e82SJiawei Lin  memAXI4SlaveNode :=
208be340b14SJiawei Lin    AXI4Buffer() :=
209acc88887SJiawei Lin    AXI4Buffer() :=
210acc88887SJiawei Lin    AXI4Buffer() :=
21108bf93ffSrvcoresjw    AXI4IdIndexer(idBits = 14) :=
21273be64b3SJiawei Lin    AXI4UserYanker() :=
21373be64b3SJiawei Lin    AXI4Deinterleaver(L3BlockSize) :=
21478a8cd25Szhanglinjuan    axi4mem_node
21573be64b3SJiawei Lin
21673be64b3SJiawei Lin  val memory = InModuleBody {
21773be64b3SJiawei Lin    memAXI4SlaveNode.makeIOs()
21873be64b3SJiawei Lin  }
21973be64b3SJiawei Lin}
22073be64b3SJiawei Lin
22173be64b3SJiawei Lintrait HaveAXI4PeripheralPort { this: BaseSoC =>
22273be64b3SJiawei Lin  // on-chip devices: 0x3800_0000 - 0x3fff_ffff 0x0000_0000 - 0x0000_0fff
22373be64b3SJiawei Lin  val onChipPeripheralRange = AddressSet(0x38000000L, 0x07ffffffL)
22478a8cd25Szhanglinjuan  val uartRange = AddressSet(0x40600000, 0x3f)
22573be64b3SJiawei Lin  val uartDevice = new SimpleDevice("serial", Seq("xilinx,uartlite"))
22673be64b3SJiawei Lin  val uartParams = AXI4SlaveParameters(
22773be64b3SJiawei Lin    address = Seq(uartRange),
22873be64b3SJiawei Lin    regionType = RegionType.UNCACHED,
22978a8cd25Szhanglinjuan    supportsRead = TransferSizes(1, 32),
23078a8cd25Szhanglinjuan    supportsWrite = TransferSizes(1, 32),
23173be64b3SJiawei Lin    resources = uartDevice.reg
23273be64b3SJiawei Lin  )
23373be64b3SJiawei Lin  val peripheralRange = AddressSet(
23473be64b3SJiawei Lin    0x0, 0x7fffffff
23573be64b3SJiawei Lin  ).subtract(onChipPeripheralRange).flatMap(x => x.subtract(uartRange))
23673be64b3SJiawei Lin  val peripheralNode = AXI4SlaveNode(Seq(AXI4SlavePortParameters(
23773be64b3SJiawei Lin    Seq(AXI4SlaveParameters(
23873be64b3SJiawei Lin      address = peripheralRange,
23973be64b3SJiawei Lin      regionType = RegionType.UNCACHED,
24078a8cd25Szhanglinjuan      supportsRead = TransferSizes(1, 32),
24178a8cd25Szhanglinjuan      supportsWrite = TransferSizes(1, 32),
24273be64b3SJiawei Lin      interleavedId = Some(0)
24373be64b3SJiawei Lin    ), uartParams),
24473be64b3SJiawei Lin    beatBytes = 8
24573be64b3SJiawei Lin  )))
24678a8cd25Szhanglinjuan
24778a8cd25Szhanglinjuan  val axi4peripheral_node = AXI4IdentityNode()
2481bf9a05aSzhanglinjuan  val error_xbar = Option.when(enableCHI)(TLXbar())
24973be64b3SJiawei Lin
25073be64b3SJiawei Lin  peripheralNode :=
2519eca914aSYuan Yuchong    AXI4UserYanker() :=
2529eca914aSYuan Yuchong    AXI4IdIndexer(idBits = 2) :=
25359239bc9SJiawei Lin    AXI4Buffer() :=
25459239bc9SJiawei Lin    AXI4Buffer() :=
255be340b14SJiawei Lin    AXI4Buffer() :=
256be340b14SJiawei Lin    AXI4Buffer() :=
25773be64b3SJiawei Lin    AXI4UserYanker() :=
25878a8cd25Szhanglinjuan    // AXI4Deinterleaver(8) :=
25978a8cd25Szhanglinjuan    axi4peripheral_node
26078a8cd25Szhanglinjuan
26178a8cd25Szhanglinjuan  if (enableCHI) {
2621bf9a05aSzhanglinjuan    val error = LazyModule(new TLError(
2631bf9a05aSzhanglinjuan      params = DevNullParams(
2643ea4388cSHaoyuan Feng        address = Seq(AddressSet(0x1000000000000L, 0xffffffffffffL)),
2651bf9a05aSzhanglinjuan        maxAtomic = 8,
2661bf9a05aSzhanglinjuan        maxTransfer = 64),
2671bf9a05aSzhanglinjuan      beatBytes = 8
2681bf9a05aSzhanglinjuan    ))
2691bf9a05aSzhanglinjuan    error.node := error_xbar.get
27078a8cd25Szhanglinjuan    axi4peripheral_node :=
27178a8cd25Szhanglinjuan      AXI4Deinterleaver(8) :=
27278a8cd25Szhanglinjuan      TLToAXI4() :=
2731bf9a05aSzhanglinjuan      error_xbar.get :=
27496d2b585Szhanglinjuan      TLBuffer.chainNode(2, Some("llc_to_peripheral_buffer")) :=
27578a8cd25Szhanglinjuan      TLFIFOFixer() :=
27678a8cd25Szhanglinjuan      TLWidthWidget(L3OuterBusWidth / 8) :=
27778a8cd25Szhanglinjuan      AXI4ToTL() :=
27878a8cd25Szhanglinjuan      AXI4UserYanker() :=
2791bf9a05aSzhanglinjuan      soc_xbar.get
28078a8cd25Szhanglinjuan  } else {
28178a8cd25Szhanglinjuan    axi4peripheral_node :=
28273be64b3SJiawei Lin      AXI4Deinterleaver(8) :=
28373be64b3SJiawei Lin      TLToAXI4() :=
284acc88887SJiawei Lin      TLBuffer.chainNode(3) :=
28578a8cd25Szhanglinjuan      peripheralXbar.get
28678a8cd25Szhanglinjuan  }
28773be64b3SJiawei Lin
28873be64b3SJiawei Lin  val peripheral = InModuleBody {
28973be64b3SJiawei Lin    peripheralNode.makeIOs()
29073be64b3SJiawei Lin  }
29173be64b3SJiawei Lin
29273be64b3SJiawei Lin}
29373be64b3SJiawei Lin
2944b40434cSzhanglinjuanclass MemMisc()(implicit p: Parameters) extends BaseSoC
29573be64b3SJiawei Lin  with HaveAXI4MemPort
29698c71602SJiawei Lin  with PMAConst
29778a8cd25Szhanglinjuan  with HaveAXI4PeripheralPort
29873be64b3SJiawei Lin{
2994b40434cSzhanglinjuan
30078a8cd25Szhanglinjuan  val peripheral_ports = Option.when(!enableCHI)(Array.fill(NumCores) { TLTempNode() })
30178a8cd25Szhanglinjuan  val core_to_l3_ports = Option.when(!enableCHI)(Array.fill(NumCores) { TLTempNode() })
30273be64b3SJiawei Lin
30373be64b3SJiawei Lin  val l3_in = TLTempNode()
30473be64b3SJiawei Lin  val l3_out = TLTempNode()
30573be64b3SJiawei Lin
3061bf9a05aSzhanglinjuan  val device_xbar = Option.when(enableCHI)(TLXbar())
3071bf9a05aSzhanglinjuan  device_xbar.foreach(_ := error_xbar.get)
30878a8cd25Szhanglinjuan
3091bf9a05aSzhanglinjuan  if (l3_banked_xbar.isDefined) {
3101bf9a05aSzhanglinjuan    l3_in :*= TLEdgeBuffer(_ => true, Some("L3_in_buffer")) :*= l3_banked_xbar.get
3111bf9a05aSzhanglinjuan    l3_banked_xbar.get := TLBuffer.chainNode(2) := l3_xbar.get
3121bf9a05aSzhanglinjuan  }
31378a8cd25Szhanglinjuan  bankedNode match {
31478a8cd25Szhanglinjuan    case Some(bankBinder) =>
31578a8cd25Szhanglinjuan      bankBinder :*= TLLogger("MEM_L3", !debugOpts.FPGAPlatform && debugOpts.AlwaysBasicDB) :*= l3_out
31678a8cd25Szhanglinjuan    case None =>
31778a8cd25Szhanglinjuan  }
31873be64b3SJiawei Lin
31973be64b3SJiawei Lin  if(soc.L3CacheParamsOpt.isEmpty){
32073be64b3SJiawei Lin    l3_out :*= l3_in
32173be64b3SJiawei Lin  }
32273be64b3SJiawei Lin
32378a8cd25Szhanglinjuan  if (!enableCHI) {
32478a8cd25Szhanglinjuan    for (port <- peripheral_ports.get) {
32578a8cd25Szhanglinjuan      peripheralXbar.get := TLBuffer.chainNode(2, Some("L2_to_L3_peripheral_buffer")) := port
32678a8cd25Szhanglinjuan    }
32773be64b3SJiawei Lin  }
32873be64b3SJiawei Lin
3294b40434cSzhanglinjuan  core_to_l3_ports.foreach { case _ =>
3304b40434cSzhanglinjuan    for ((core_out, i) <- core_to_l3_ports.get.zipWithIndex){
3311bf9a05aSzhanglinjuan      l3_banked_xbar.get :=*
33262129679Swakafa        TLLogger(s"L3_L2_$i", !debugOpts.FPGAPlatform && debugOpts.AlwaysBasicDB) :=*
33359239bc9SJiawei Lin        TLBuffer() :=
33459239bc9SJiawei Lin        core_out
33573be64b3SJiawei Lin    }
3364b40434cSzhanglinjuan  }
33778a8cd25Szhanglinjuan
33873be64b3SJiawei Lin  val clint = LazyModule(new CLINT(CLINTParams(0x38000000L), 8))
3391bf9a05aSzhanglinjuan  if (enableCHI) { clint.node := device_xbar.get }
34078a8cd25Szhanglinjuan  else { clint.node := peripheralXbar.get }
34173be64b3SJiawei Lin
34273be64b3SJiawei Lin  class IntSourceNodeToModule(val num: Int)(implicit p: Parameters) extends LazyModule {
34373be64b3SJiawei Lin    val sourceNode = IntSourceNode(IntSourcePortSimple(num, ports = 1, sources = 1))
344935edac4STang Haojin    class IntSourceNodeToModuleImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) {
34573be64b3SJiawei Lin      val in = IO(Input(Vec(num, Bool())))
34673be64b3SJiawei Lin      in.zip(sourceNode.out.head._1).foreach{ case (i, s) => s := i }
34773be64b3SJiawei Lin    }
348935edac4STang Haojin    lazy val module = new IntSourceNodeToModuleImp(this)
34973be64b3SJiawei Lin  }
35073be64b3SJiawei Lin
35173be64b3SJiawei Lin  val plic = LazyModule(new TLPLIC(PLICParams(0x3c000000L), 8))
35273be64b3SJiawei Lin  val plicSource = LazyModule(new IntSourceNodeToModule(NrExtIntr))
35373be64b3SJiawei Lin
35473be64b3SJiawei Lin  plic.intnode := plicSource.sourceNode
3551bf9a05aSzhanglinjuan  if (enableCHI) { plic.node := device_xbar.get }
35678a8cd25Szhanglinjuan  else { plic.node := peripheralXbar.get }
35773be64b3SJiawei Lin
35834ab1ae9SJiawei Lin  val pll_node = TLRegisterNode(
35934ab1ae9SJiawei Lin    address = Seq(AddressSet(0x3a000000L, 0xfff)),
36034ab1ae9SJiawei Lin    device = new SimpleDevice("pll_ctrl", Seq()),
36134ab1ae9SJiawei Lin    beatBytes = 8,
36234ab1ae9SJiawei Lin    concurrency = 1
36334ab1ae9SJiawei Lin  )
3641bf9a05aSzhanglinjuan  if (enableCHI) { pll_node := device_xbar.get }
36578a8cd25Szhanglinjuan  else { pll_node := peripheralXbar.get }
36634ab1ae9SJiawei Lin
36773be64b3SJiawei Lin  val debugModule = LazyModule(new DebugModule(NumCores)(p))
36878a8cd25Szhanglinjuan  if (enableCHI) {
3691bf9a05aSzhanglinjuan    debugModule.debug.node := device_xbar.get
37078a8cd25Szhanglinjuan    // TODO: l3_xbar
37178a8cd25Szhanglinjuan    debugModule.debug.dmInner.dmInner.sb2tlOpt.foreach { sb2tl =>
3721bf9a05aSzhanglinjuan      error_xbar.get := sb2tl.node
37378a8cd25Szhanglinjuan    }
37478a8cd25Szhanglinjuan  } else {
37578a8cd25Szhanglinjuan    debugModule.debug.node := peripheralXbar.get
37673be64b3SJiawei Lin    debugModule.debug.dmInner.dmInner.sb2tlOpt.foreach { sb2tl  =>
3771bf9a05aSzhanglinjuan      l3_xbar.get := TLBuffer() := sb2tl.node
37873be64b3SJiawei Lin    }
37978a8cd25Szhanglinjuan  }
38073be64b3SJiawei Lin
38198c71602SJiawei Lin  val pma = LazyModule(new TLPMA)
38278a8cd25Szhanglinjuan  if (enableCHI) {
3831bf9a05aSzhanglinjuan    pma.node := TLBuffer.chainNode(4) := device_xbar.get
38478a8cd25Szhanglinjuan  } else {
38578a8cd25Szhanglinjuan    pma.node := TLBuffer.chainNode(4) := peripheralXbar.get
38678a8cd25Szhanglinjuan  }
38798c71602SJiawei Lin
388935edac4STang Haojin  class SoCMiscImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) {
38973be64b3SJiawei Lin
390935edac4STang Haojin    val debug_module_io = IO(new debugModule.DebugModuleIO)
39173be64b3SJiawei Lin    val ext_intrs = IO(Input(UInt(NrExtIntr.W)))
3929e56439dSHazard    val rtc_clock = IO(Input(Bool()))
39334ab1ae9SJiawei Lin    val pll0_lock = IO(Input(Bool()))
39434ab1ae9SJiawei Lin    val pll0_ctrl = IO(Output(Vec(6, UInt(32.W))))
39598c71602SJiawei Lin    val cacheable_check = IO(new TLPMAIO)
3963bf5eac7SXuan Hu    val clintTime = IO(Output(ValidIO(UInt(64.W))))
39773be64b3SJiawei Lin
39873be64b3SJiawei Lin    debugModule.module.io <> debug_module_io
3999b4044e7SYinan Xu
4009b4044e7SYinan Xu    // sync external interrupts
4019b4044e7SYinan Xu    require(plicSource.module.in.length == ext_intrs.getWidth)
4029b4044e7SYinan Xu    for ((plic_in, interrupt) <- plicSource.module.in.zip(ext_intrs.asBools)) {
4039b4044e7SYinan Xu      val ext_intr_sync = RegInit(0.U(3.W))
4049b4044e7SYinan Xu      ext_intr_sync := Cat(ext_intr_sync(1, 0), interrupt)
405e5c40982SYinan Xu      plic_in := ext_intr_sync(2)
4069b4044e7SYinan Xu    }
4079e56439dSHazard
40898c71602SJiawei Lin    pma.module.io <> cacheable_check
40973be64b3SJiawei Lin
41088ca983fSYinan Xu    // positive edge sampling of the lower-speed rtc_clock
41188ca983fSYinan Xu    val rtcTick = RegInit(0.U(3.W))
41288ca983fSYinan Xu    rtcTick := Cat(rtcTick(1, 0), rtc_clock)
41388ca983fSYinan Xu    clint.module.io.rtcTick := rtcTick(1) && !rtcTick(2)
41488ca983fSYinan Xu
41534ab1ae9SJiawei Lin    val pll_ctrl_regs = Seq.fill(6){ RegInit(0.U(32.W)) }
41634ab1ae9SJiawei Lin    val pll_lock = RegNext(next = pll0_lock, init = false.B)
41734ab1ae9SJiawei Lin
4183bf5eac7SXuan Hu    clintTime := clint.module.io.time
4193bf5eac7SXuan Hu
42034ab1ae9SJiawei Lin    pll0_ctrl <> VecInit(pll_ctrl_regs)
42134ab1ae9SJiawei Lin
42234ab1ae9SJiawei Lin    pll_node.regmap(
42334ab1ae9SJiawei Lin      0x000 -> RegFieldGroup(
42434ab1ae9SJiawei Lin        "Pll", Some("PLL ctrl regs"),
42534ab1ae9SJiawei Lin        pll_ctrl_regs.zipWithIndex.map{
42634ab1ae9SJiawei Lin          case (r, i) => RegField(32, r, RegFieldDesc(
42734ab1ae9SJiawei Lin            s"PLL_ctrl_$i",
42834ab1ae9SJiawei Lin            desc = s"PLL ctrl register #$i"
42934ab1ae9SJiawei Lin          ))
43034ab1ae9SJiawei Lin        } :+ RegField.r(32, Cat(0.U(31.W), pll_lock), RegFieldDesc(
43134ab1ae9SJiawei Lin          "PLL_lock",
43234ab1ae9SJiawei Lin          "PLL lock register"
43334ab1ae9SJiawei Lin        ))
43434ab1ae9SJiawei Lin      )
43534ab1ae9SJiawei Lin    )
43673be64b3SJiawei Lin  }
437935edac4STang Haojin
438935edac4STang Haojin  lazy val module = new SoCMiscImp(this)
4390584d3a8SLinJiawei}
44078a8cd25Szhanglinjuan
4414b40434cSzhanglinjuanclass SoCMisc()(implicit p: Parameters) extends MemMisc
4424b40434cSzhanglinjuan  with HaveSlaveAXI4Port
4434b40434cSzhanglinjuan
444