xref: /XiangShan/src/main/scala/system/SoC.scala (revision d2d827d92f583d226d9c6b7f6172053c68db5920)
1006e1884SZihao Yupackage system
2006e1884SZihao Yu
35704b623Szhanglinjuanimport noop.{NOOP, NOOPConfig, Cache, L2Cache, CacheConfig}
4006e1884SZihao Yuimport bus.axi4.{AXI4, AXI4Lite}
58f36f779SZihao Yuimport bus.simplebus._
6006e1884SZihao Yu
7006e1884SZihao Yuimport chisel3._
8096ea47eSzhanglinjuanimport chisel3.util._
9fe820c3dSZihao Yuimport chisel3.util.experimental.BoringUtils
10006e1884SZihao Yu
11006e1884SZihao Yuclass NOOPSoC(implicit val p: NOOPConfig) extends Module {
12006e1884SZihao Yu  val io = IO(new Bundle{
13cdd59e9fSZihao Yu    val mem = new AXI4
14ad255e6cSZihao Yu    val mmio = (if (p.FPGAPlatform) { new AXI4Lite } else { new SimpleBusUC })
15fe820c3dSZihao Yu    val mtip = Input(Bool())
16466eb0a8SZihao Yu    val meip = Input(Bool())
17006e1884SZihao Yu  })
18006e1884SZihao Yu
19006e1884SZihao Yu  val noop = Module(new NOOP)
20cdd59e9fSZihao Yu  val cohMg = Module(new CoherenceInterconnect)
21cdd59e9fSZihao Yu  cohMg.io.in(0) <> noop.io.imem
22cdd59e9fSZihao Yu  cohMg.io.in(1) <> noop.io.dmem
23096ea47eSzhanglinjuan
24*d2d827d9Szhanglinjuan
25096ea47eSzhanglinjuan	// add L2 Cache and Dcache Prefetcher
26*d2d827d9Szhanglinjuan	/*
27096ea47eSzhanglinjuan	val prefetcher = Module(new Prefetcher)
28096ea47eSzhanglinjuan	prefetcher.io.in <> noop.io.prefetchReq
29096ea47eSzhanglinjuan
30096ea47eSzhanglinjuan	val l2cacheIn = Wire(new SimpleBusUC)
31*d2d827d9Szhanglinjuan	val l2cacheInReqArb = Module(new Arbiter(chiselTypeOf(noop.io.prefetchReq.bits), 2))
32096ea47eSzhanglinjuan	l2cacheInReqArb.io.in(0) <> cohMg.io.out.req
33096ea47eSzhanglinjuan	l2cacheInReqArb.io.in(1) <> prefetcher.io.out
34096ea47eSzhanglinjuan	l2cacheIn.req <> l2cacheInReqArb.io.out
35096ea47eSzhanglinjuan	cohMg.io.out.resp <> l2cacheIn.resp
36096ea47eSzhanglinjuan
37096ea47eSzhanglinjuan	val mmioXbar = Module(new SimpleBusCrossbarNto1(2))
38096ea47eSzhanglinjuan
39096ea47eSzhanglinjuan	val l2cacheOut = Wire(new SimpleBusUC)
40096ea47eSzhanglinjuan	l2cacheOut <> Cache(in = l2cacheIn, mmio = mmioXbar.io.in(0), flush = "b00".U, enable = true)(CacheConfig(ro = false, name = "l2cache", cacheLevel = 2))
41096ea47eSzhanglinjuan	io.mem <> l2cacheOut.toAXI4()
42096ea47eSzhanglinjuan
43096ea47eSzhanglinjuan	mmioXbar.io.in(1) <> noop.io.mmio
44096ea47eSzhanglinjuan	if (p.FPGAPlatform) io.mmio <> mmioXbar.io.out.toAXI4Lite()
45096ea47eSzhanglinjuan  else io.mmio <> mmioXbar.io.out
46096ea47eSzhanglinjuan	*/
47096ea47eSzhanglinjuan
48096ea47eSzhanglinjuan	// add L2 Cache
49*d2d827d9Szhanglinjuan	/*
505704b623Szhanglinjuan	val mmioXbar = Module(new SimpleBusCrossbarNto1(2))
51006e1884SZihao Yu
525704b623Szhanglinjuan	val l2cacheOut = Wire(new SimpleBusUC)
535704b623Szhanglinjuan	l2cacheOut <> Cache(in = cohMg.io.out, mmio = mmioXbar.io.in(0), flush = "b00".U, enable = true)(CacheConfig(ro = false, name = "l2cache", cacheLevel = 2))
545704b623Szhanglinjuan	io.mem <> l2cacheOut.toAXI4()
555704b623Szhanglinjuan
565704b623Szhanglinjuan	mmioXbar.io.in(1) <> noop.io.mmio
575704b623Szhanglinjuan	if (p.FPGAPlatform) io.mmio <> mmioXbar.io.out.toAXI4Lite()
585704b623Szhanglinjuan  else io.mmio <> mmioXbar.io.out
59*d2d827d9Szhanglinjuan	*/
60096ea47eSzhanglinjuan
61096ea47eSzhanglinjuan	// no L2 Cache
62*d2d827d9Szhanglinjuan
63096ea47eSzhanglinjuan	io.mem <> cohMg.io.out.toAXI4()
64096ea47eSzhanglinjuan
65ad255e6cSZihao Yu  if (p.FPGAPlatform) io.mmio <> noop.io.mmio.toAXI4Lite()
66006e1884SZihao Yu  else io.mmio <> noop.io.mmio
67*d2d827d9Szhanglinjuan
68*d2d827d9Szhanglinjuan
695d41d760SZihao Yu  val mtipSync = RegNext(RegNext(io.mtip))
70466eb0a8SZihao Yu  val meipSync = RegNext(RegNext(io.meip))
715d41d760SZihao Yu  BoringUtils.addSource(mtipSync, "mtip")
72466eb0a8SZihao Yu  BoringUtils.addSource(meipSync, "meip")
73006e1884SZihao Yu}
74