xref: /XiangShan/src/main/scala/system/SoC.scala (revision aad61829667df24ef0dc5e649eafb6bf2e747c98)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
17006e1884SZihao Yupackage system
18006e1884SZihao Yu
198891a219SYinan Xuimport org.chipsalliance.cde.config.{Field, Parameters}
20006e1884SZihao Yuimport chisel3._
21096ea47eSzhanglinjuanimport chisel3.util._
2298c71602SJiawei Linimport device.{DebugModule, TLPMA, TLPMAIO}
236695f071SYinan Xuimport freechips.rocketchip.amba.axi4._
24bbe4506dSTang Haojinimport freechips.rocketchip.devices.debug.DebugModuleKey
256695f071SYinan Xuimport freechips.rocketchip.devices.tilelink._
2673be64b3SJiawei Linimport freechips.rocketchip.diplomacy.{AddressSet, IdRange, InModuleBody, LazyModule, LazyModuleImp, MemoryDevice, RegionType, SimpleDevice, TransferSizes}
2773be64b3SJiawei Linimport freechips.rocketchip.interrupts.{IntSourceNode, IntSourcePortSimple}
286695f071SYinan Xuimport freechips.rocketchip.regmapper.{RegField, RegFieldDesc, RegFieldGroup}
2998c71602SJiawei Linimport freechips.rocketchip.tilelink._
308537b88aSTang Haojinimport freechips.rocketchip.util.AsyncQueueParams
3198c71602SJiawei Linimport huancun._
326695f071SYinan Xuimport top.BusPerfMonitor
336695f071SYinan Xuimport utility.{ReqSourceKey, TLClientsMerger, TLEdgeBuffer, TLLogger}
345bd65c56STang Haojinimport xiangshan.backend.fu.{MemoryRange, PMAConfigEntry, PMAConst}
355bd65c56STang Haojinimport xiangshan.{DebugOptionsKey, PMParameKey, XSTileKey}
365c060727Ssumailyycimport coupledL2.{EnableCHI, L2Param}
378537b88aSTang Haojinimport coupledL2.tl2chi.CHIIssue
385c060727Ssumailyycimport openLLC.OpenLLCParam
39a428082bSLinJiawei
402225d46eSJiawei Lincase object SoCParamsKey extends Field[SoCParameters]
412225d46eSJiawei Lin
42a428082bSLinJiaweicase class SoCParameters
43a428082bSLinJiawei(
44a428082bSLinJiawei  EnableILA: Boolean = false,
453ea4388cSHaoyuan Feng  PAddrBits: Int = 48,
465bd65c56STang Haojin  PmemRanges: Seq[MemoryRange] = Seq(MemoryRange(0x80000000L, 0x80000000000L)),
475bd65c56STang Haojin  PMAConfigs: Seq[PMAConfigEntry] = Seq(
485bd65c56STang Haojin    PMAConfigEntry(0x0L, range = 0x1000000000000L, a = 3),
495bd65c56STang Haojin    PMAConfigEntry(0x80000000000L, c = true, atomic = true, a = 1, x = true, w = true, r = true),
505bd65c56STang Haojin    PMAConfigEntry(0x80000000L, a = 1, w = true, r = true),
515bd65c56STang Haojin    PMAConfigEntry(0x3A000000L, a = 1),
524c062654SAnzo    PMAConfigEntry(0x39002000L, a = 1, w = true, r = true),
534c062654SAnzo    PMAConfigEntry(0x39000000L, a = 1, w = true, r = true),
545bd65c56STang Haojin    PMAConfigEntry(0x38022000L, a = 1, w = true, r = true),
555bd65c56STang Haojin    PMAConfigEntry(0x38021000L, a = 1, x = true, w = true, r = true),
565bd65c56STang Haojin    PMAConfigEntry(0x38020000L, a = 1, w = true, r = true),
575bd65c56STang Haojin    PMAConfigEntry(0x30050000L, a = 1, w = true, r = true), // FIXME: GPU space is cacheable?
585bd65c56STang Haojin    PMAConfigEntry(0x30010000L, a = 1, w = true, r = true),
595bd65c56STang Haojin    PMAConfigEntry(0x20000000L, a = 1, x = true, w = true, r = true),
605bd65c56STang Haojin    PMAConfigEntry(0x10000000L, a = 1, w = true, r = true),
615bd65c56STang Haojin    PMAConfigEntry(0)
625bd65c56STang Haojin  ),
63bbe4506dSTang Haojin  CLINTRange: AddressSet = AddressSet(0x38000000L, CLINTConsts.size - 1),
64bbe4506dSTang Haojin  BEURange: AddressSet = AddressSet(0x38010000L, 0xfff),
65bbe4506dSTang Haojin  PLICRange: AddressSet = AddressSet(0x3c000000L, PLICConsts.size(PLICConsts.maxMaxHarts) - 1),
66bbe4506dSTang Haojin  PLLRange: AddressSet = AddressSet(0x3a000000L, 0xfff),
67bbe4506dSTang Haojin  UARTLiteForDTS: Boolean = true, // should be false in SimMMIO
68c679fdb3Srvcoresjw  extIntrs: Int = 64,
69a1ea7f76SJiawei Lin  L3NBanks: Int = 4,
704f94c0c6SJiawei Lin  L3CacheParamsOpt: Option[HCCacheParameters] = Some(HCCacheParameters(
71d2b20d1aSTang Haojin    name = "L3",
72a1ea7f76SJiawei Lin    level = 3,
73a1ea7f76SJiawei Lin    ways = 8,
74a1ea7f76SJiawei Lin    sets = 2048 // 1MB per bank
75a5b77de4STang Haojin  )),
76a57c9536STang Haojin  OpenLLCParamsOpt: Option[OpenLLCParam] = None,
774b40434cSzhanglinjuan  XSTopPrefix: Option[String] = None,
788537b88aSTang Haojin  NodeIDWidthList: Map[String, Int] = Map(
798537b88aSTang Haojin    "B" -> 7,
80*aad61829SMa-YX    "C" -> 9,
818537b88aSTang Haojin    "E.b" -> 11
828537b88aSTang Haojin  ),
83007f6122SXuan Hu  NumHart: Int = 64,
84007f6122SXuan Hu  NumIRFiles: Int = 7,
85007f6122SXuan Hu  NumIRSrc: Int = 256,
86720dd621STang Haojin  UseXSNoCTop: Boolean = false,
87c33deca9Sklin02  UseXSNoCDiffTop: Boolean = false,
88007f6122SXuan Hu  IMSICUseTL: Boolean = false,
8906076152Syulightenyu  EnableCHIAsyncBridge: Option[AsyncQueueParams] = Some(AsyncQueueParams(depth = 16, sync = 3, safe = false)),
907ff4ebdcSTang Haojin  EnableClintAsyncBridge: Option[AsyncQueueParams] = Some(AsyncQueueParams(depth = 1, sync = 3, safe = false))
912225d46eSJiawei Lin){
92a57c9536STang Haojin  require(
93a57c9536STang Haojin    L3CacheParamsOpt.isDefined ^ OpenLLCParamsOpt.isDefined || L3CacheParamsOpt.isEmpty && OpenLLCParamsOpt.isEmpty,
94a57c9536STang Haojin    "Atmost one of L3CacheParamsOpt and OpenLLCParamsOpt should be defined"
95a57c9536STang Haojin  )
962225d46eSJiawei Lin  // L3 configurations
972225d46eSJiawei Lin  val L3InnerBusWidth = 256
982225d46eSJiawei Lin  val L3BlockSize = 64
992225d46eSJiawei Lin  // on chip network configurations
1002225d46eSJiawei Lin  val L3OuterBusWidth = 256
101bbe4506dSTang Haojin  val UARTLiteRange = AddressSet(0x40600000, if (UARTLiteForDTS) 0x3f else 0xf)
1022225d46eSJiawei Lin}
1032225d46eSJiawei Lin
1042225d46eSJiawei Lintrait HasSoCParameter {
1052225d46eSJiawei Lin  implicit val p: Parameters
1062225d46eSJiawei Lin
1072225d46eSJiawei Lin  val soc = p(SoCParamsKey)
1082225d46eSJiawei Lin  val debugOpts = p(DebugOptionsKey)
10934ab1ae9SJiawei Lin  val tiles = p(XSTileKey)
11078a8cd25Szhanglinjuan  val enableCHI = p(EnableCHI)
1118537b88aSTang Haojin  val issue = p(CHIIssue)
11234ab1ae9SJiawei Lin
11334ab1ae9SJiawei Lin  val NumCores = tiles.size
114a428082bSLinJiawei  val EnableILA = soc.EnableILA
1152225d46eSJiawei Lin
116725e8ddcSchengguanghui  // Parameters for trace extension
117725e8ddcSchengguanghui  val TraceTraceGroupNum          = tiles.head.traceParams.TraceGroupNum
118725e8ddcSchengguanghui  val TraceCauseWidth             = tiles.head.XLEN
119551cc696Schengguanghui  val TraceTvalWidth              = tiles.head.traceParams.IaddrWidth
120725e8ddcSchengguanghui  val TracePrivWidth              = tiles.head.traceParams.PrivWidth
121551cc696Schengguanghui  val TraceIaddrWidth             = tiles.head.traceParams.IaddrWidth
122725e8ddcSchengguanghui  val TraceItypeWidth             = tiles.head.traceParams.ItypeWidth
123725e8ddcSchengguanghui  val TraceIretireWidthCompressed = log2Up(tiles.head.RenameWidth * tiles.head.CommitWidth * 2)
124725e8ddcSchengguanghui  val TraceIlastsizeWidth         = tiles.head.traceParams.IlastsizeWidth
125725e8ddcSchengguanghui
1262225d46eSJiawei Lin  // L3 configurations
1272225d46eSJiawei Lin  val L3InnerBusWidth = soc.L3InnerBusWidth
1282225d46eSJiawei Lin  val L3BlockSize = soc.L3BlockSize
1292225d46eSJiawei Lin  val L3NBanks = soc.L3NBanks
1302225d46eSJiawei Lin
1312225d46eSJiawei Lin  // on chip network configurations
1322225d46eSJiawei Lin  val L3OuterBusWidth = soc.L3OuterBusWidth
1332225d46eSJiawei Lin
1342225d46eSJiawei Lin  val NrExtIntr = soc.extIntrs
135007f6122SXuan Hu
136007f6122SXuan Hu  val SetIpNumValidSize = soc.NumHart * soc.NumIRFiles
137007f6122SXuan Hu
138007f6122SXuan Hu  val NumIRSrc = soc.NumIRSrc
139e2725c9eSzhanglinjuan
140e2725c9eSzhanglinjuan  val EnableCHIAsyncBridge = if (enableCHI && soc.EnableCHIAsyncBridge.isDefined)
141e2725c9eSzhanglinjuan    soc.EnableCHIAsyncBridge else None
142e2725c9eSzhanglinjuan  val EnableClintAsyncBridge = soc.EnableClintAsyncBridge
143303b861dSZihao Yu}
144303b861dSZihao Yu
145bbe4506dSTang Haojintrait HasPeripheralRanges {
146bbe4506dSTang Haojin  implicit val p: Parameters
147bbe4506dSTang Haojin
148bbe4506dSTang Haojin  private def soc = p(SoCParamsKey)
149bbe4506dSTang Haojin  private def dm = p(DebugModuleKey)
150bbe4506dSTang Haojin  private def pmParams = p(PMParameKey)
151bbe4506dSTang Haojin
152bbe4506dSTang Haojin  private def mmpma = pmParams.mmpma
153bbe4506dSTang Haojin
154bbe4506dSTang Haojin  def onChipPeripheralRanges: Map[String, AddressSet] = Map(
155bbe4506dSTang Haojin    "CLINT" -> soc.CLINTRange,
156bbe4506dSTang Haojin    "BEU"   -> soc.BEURange,
157bbe4506dSTang Haojin    "PLIC"  -> soc.PLICRange,
158bbe4506dSTang Haojin    "PLL"   -> soc.PLLRange,
159bbe4506dSTang Haojin    "UART"  -> soc.UARTLiteRange,
160bbe4506dSTang Haojin    "DEBUG" -> dm.get.address,
161bbe4506dSTang Haojin    "MMPMA" -> AddressSet(mmpma.address, mmpma.mask)
162bbe4506dSTang Haojin  ) ++ (
163bbe4506dSTang Haojin    if (soc.L3CacheParamsOpt.map(_.ctrl.isDefined).getOrElse(false))
164bbe4506dSTang Haojin      Map("L3CTL" -> AddressSet(soc.L3CacheParamsOpt.get.ctrl.get.address, 0xffff))
165bbe4506dSTang Haojin    else
166bbe4506dSTang Haojin      Map()
167bbe4506dSTang Haojin  )
168bbe4506dSTang Haojin
169bbe4506dSTang Haojin  def peripheralRange = onChipPeripheralRanges.values.foldLeft(Seq(AddressSet(0x0, 0x7fffffffL))) { (acc, x) =>
170bbe4506dSTang Haojin    acc.flatMap(_.subtract(x))
171bbe4506dSTang Haojin  }
172bbe4506dSTang Haojin}
173bbe4506dSTang Haojin
1741e3fad10SLinJiaweiclass ILABundle extends Bundle {}
175303b861dSZihao Yu
1763e586e47Slinjiawei
177bbe4506dSTang Haojinabstract class BaseSoC()(implicit p: Parameters) extends LazyModule with HasSoCParameter with HasPeripheralRanges {
17878a8cd25Szhanglinjuan  val bankedNode = Option.when(!enableCHI)(BankBinder(L3NBanks, L3BlockSize))
17978a8cd25Szhanglinjuan  val peripheralXbar = Option.when(!enableCHI)(TLXbar())
1801bf9a05aSzhanglinjuan  val l3_xbar = Option.when(!enableCHI)(TLXbar())
1811bf9a05aSzhanglinjuan  val l3_banked_xbar = Option.when(!enableCHI)(TLXbar())
18278a8cd25Szhanglinjuan
1831bf9a05aSzhanglinjuan  val soc_xbar = Option.when(enableCHI)(AXI4Xbar())
1843e586e47Slinjiawei}
1853e586e47Slinjiawei
18673be64b3SJiawei Lin// We adapt the following three traits from rocket-chip.
18773be64b3SJiawei Lin// Source: rocket-chip/src/main/scala/subsystem/Ports.scala
18873be64b3SJiawei Lintrait HaveSlaveAXI4Port {
18973be64b3SJiawei Lin  this: BaseSoC =>
1909637c0c6SLinJiawei
19173be64b3SJiawei Lin  val idBits = 14
19273be64b3SJiawei Lin
19373be64b3SJiawei Lin  val l3FrontendAXI4Node = AXI4MasterNode(Seq(AXI4MasterPortParameters(
19473be64b3SJiawei Lin    Seq(AXI4MasterParameters(
19573be64b3SJiawei Lin      name = "dma",
19673be64b3SJiawei Lin      id = IdRange(0, 1 << idBits)
19773be64b3SJiawei Lin    ))
19873be64b3SJiawei Lin  )))
1991bf9a05aSzhanglinjuan
2001bf9a05aSzhanglinjuan  if (l3_xbar.isDefined) {
2011bf9a05aSzhanglinjuan    val errorDevice = LazyModule(new TLError(
20273be64b3SJiawei Lin      params = DevNullParams(
20373be64b3SJiawei Lin        address = Seq(AddressSet(0x0, 0x7fffffffL)),
20473be64b3SJiawei Lin        maxAtomic = 8,
20573be64b3SJiawei Lin        maxTransfer = 64),
20673be64b3SJiawei Lin      beatBytes = L3InnerBusWidth / 8
20773be64b3SJiawei Lin    ))
2081bf9a05aSzhanglinjuan    errorDevice.node :=
2091bf9a05aSzhanglinjuan      l3_xbar.get :=
21073be64b3SJiawei Lin      TLFIFOFixer() :=
21108bf93ffSrvcoresjw      TLWidthWidget(32) :=
21273be64b3SJiawei Lin      AXI4ToTL() :=
21373be64b3SJiawei Lin      AXI4UserYanker(Some(1)) :=
21473be64b3SJiawei Lin      AXI4Fragmenter() :=
215be340b14SJiawei Lin      AXI4Buffer() :=
216be340b14SJiawei Lin      AXI4Buffer() :=
21773be64b3SJiawei Lin      AXI4IdIndexer(1) :=
21873be64b3SJiawei Lin      l3FrontendAXI4Node
2191bf9a05aSzhanglinjuan  }
22073be64b3SJiawei Lin
22173be64b3SJiawei Lin  val dma = InModuleBody {
22273be64b3SJiawei Lin    l3FrontendAXI4Node.makeIOs()
22373be64b3SJiawei Lin  }
22473be64b3SJiawei Lin}
22573be64b3SJiawei Lin
22673be64b3SJiawei Lintrait HaveAXI4MemPort {
22773be64b3SJiawei Lin  this: BaseSoC =>
22873be64b3SJiawei Lin  val device = new MemoryDevice
2293ea4388cSHaoyuan Feng  // 48-bit physical address
2303ea4388cSHaoyuan Feng  val memRange = AddressSet(0x00000000L, 0xffffffffffffL).subtract(AddressSet(0x0L, 0x7fffffffL))
23173be64b3SJiawei Lin  val memAXI4SlaveNode = AXI4SlaveNode(Seq(
23273be64b3SJiawei Lin    AXI4SlavePortParameters(
23373be64b3SJiawei Lin      slaves = Seq(
23473be64b3SJiawei Lin        AXI4SlaveParameters(
23573be64b3SJiawei Lin          address = memRange,
23673be64b3SJiawei Lin          regionType = RegionType.UNCACHED,
23773be64b3SJiawei Lin          executable = true,
23873be64b3SJiawei Lin          supportsRead = TransferSizes(1, L3BlockSize),
23973be64b3SJiawei Lin          supportsWrite = TransferSizes(1, L3BlockSize),
24073be64b3SJiawei Lin          interleavedId = Some(0),
24173be64b3SJiawei Lin          resources = device.reg("mem")
2420584d3a8SLinJiawei        )
24373be64b3SJiawei Lin      ),
2446695f071SYinan Xu      beatBytes = L3OuterBusWidth / 8,
2456695f071SYinan Xu      requestKeys = if (debugOpts.FPGAPlatform) Seq() else Seq(ReqSourceKey),
24673be64b3SJiawei Lin    )
24773be64b3SJiawei Lin  ))
24873be64b3SJiawei Lin
24973be64b3SJiawei Lin  val mem_xbar = TLXbar()
25078a8cd25Szhanglinjuan  val l3_mem_pmu = BusPerfMonitor(name = "L3_Mem", enable = !debugOpts.FPGAPlatform && !enableCHI, stat_latency = true)
25178a8cd25Szhanglinjuan  val axi4mem_node = AXI4IdentityNode()
25278a8cd25Szhanglinjuan
25378a8cd25Szhanglinjuan  if (enableCHI) {
25478a8cd25Szhanglinjuan    axi4mem_node :=
2551bf9a05aSzhanglinjuan      soc_xbar.get
25678a8cd25Szhanglinjuan  } else {
25729230e82SJiawei Lin    mem_xbar :=*
258d2b20d1aSTang Haojin      TLBuffer.chainNode(2) :=
259d2b20d1aSTang Haojin      TLCacheCork() :=
260d2b20d1aSTang Haojin      l3_mem_pmu :=
261d2b20d1aSTang Haojin      TLClientsMerger() :=
26229230e82SJiawei Lin      TLXbar() :=*
26378a8cd25Szhanglinjuan      bankedNode.get
26429230e82SJiawei Lin
26529230e82SJiawei Lin    mem_xbar :=
26629230e82SJiawei Lin      TLWidthWidget(8) :=
267b7291c09SJiawei Lin      TLBuffer.chainNode(3, name = Some("PeripheralXbar_to_MemXbar_buffer")) :=
26878a8cd25Szhanglinjuan      peripheralXbar.get
26978a8cd25Szhanglinjuan
27078a8cd25Szhanglinjuan    axi4mem_node :=
27178a8cd25Szhanglinjuan      TLToAXI4() :=
27278a8cd25Szhanglinjuan      TLSourceShrinker(64) :=
27378a8cd25Szhanglinjuan      TLWidthWidget(L3OuterBusWidth / 8) :=
27478a8cd25Szhanglinjuan      TLBuffer.chainNode(2) :=
27578a8cd25Szhanglinjuan      mem_xbar
27678a8cd25Szhanglinjuan  }
27729230e82SJiawei Lin
27829230e82SJiawei Lin  memAXI4SlaveNode :=
279be340b14SJiawei Lin    AXI4Buffer() :=
280acc88887SJiawei Lin    AXI4Buffer() :=
281acc88887SJiawei Lin    AXI4Buffer() :=
28208bf93ffSrvcoresjw    AXI4IdIndexer(idBits = 14) :=
28373be64b3SJiawei Lin    AXI4UserYanker() :=
28473be64b3SJiawei Lin    AXI4Deinterleaver(L3BlockSize) :=
28578a8cd25Szhanglinjuan    axi4mem_node
28673be64b3SJiawei Lin
28773be64b3SJiawei Lin  val memory = InModuleBody {
28873be64b3SJiawei Lin    memAXI4SlaveNode.makeIOs()
28973be64b3SJiawei Lin  }
29073be64b3SJiawei Lin}
29173be64b3SJiawei Lin
29273be64b3SJiawei Lintrait HaveAXI4PeripheralPort { this: BaseSoC =>
29373be64b3SJiawei Lin  val uartDevice = new SimpleDevice("serial", Seq("xilinx,uartlite"))
29473be64b3SJiawei Lin  val uartParams = AXI4SlaveParameters(
295bbe4506dSTang Haojin    address = Seq(soc.UARTLiteRange),
29673be64b3SJiawei Lin    regionType = RegionType.UNCACHED,
29778a8cd25Szhanglinjuan    supportsRead = TransferSizes(1, 32),
29878a8cd25Szhanglinjuan    supportsWrite = TransferSizes(1, 32),
29973be64b3SJiawei Lin    resources = uartDevice.reg
30073be64b3SJiawei Lin  )
30173be64b3SJiawei Lin  val peripheralNode = AXI4SlaveNode(Seq(AXI4SlavePortParameters(
30273be64b3SJiawei Lin    Seq(AXI4SlaveParameters(
30373be64b3SJiawei Lin      address = peripheralRange,
30473be64b3SJiawei Lin      regionType = RegionType.UNCACHED,
30578a8cd25Szhanglinjuan      supportsRead = TransferSizes(1, 32),
30678a8cd25Szhanglinjuan      supportsWrite = TransferSizes(1, 32),
30773be64b3SJiawei Lin      interleavedId = Some(0)
30873be64b3SJiawei Lin    ), uartParams),
30973be64b3SJiawei Lin    beatBytes = 8
31073be64b3SJiawei Lin  )))
31178a8cd25Szhanglinjuan
31278a8cd25Szhanglinjuan  val axi4peripheral_node = AXI4IdentityNode()
3131bf9a05aSzhanglinjuan  val error_xbar = Option.when(enableCHI)(TLXbar())
31473be64b3SJiawei Lin
31573be64b3SJiawei Lin  peripheralNode :=
3169eca914aSYuan Yuchong    AXI4UserYanker() :=
3179eca914aSYuan Yuchong    AXI4IdIndexer(idBits = 2) :=
31859239bc9SJiawei Lin    AXI4Buffer() :=
31959239bc9SJiawei Lin    AXI4Buffer() :=
320be340b14SJiawei Lin    AXI4Buffer() :=
321be340b14SJiawei Lin    AXI4Buffer() :=
32273be64b3SJiawei Lin    AXI4UserYanker() :=
32378a8cd25Szhanglinjuan    // AXI4Deinterleaver(8) :=
32478a8cd25Szhanglinjuan    axi4peripheral_node
32578a8cd25Szhanglinjuan
32678a8cd25Szhanglinjuan  if (enableCHI) {
3271bf9a05aSzhanglinjuan    val error = LazyModule(new TLError(
3281bf9a05aSzhanglinjuan      params = DevNullParams(
3293ea4388cSHaoyuan Feng        address = Seq(AddressSet(0x1000000000000L, 0xffffffffffffL)),
3301bf9a05aSzhanglinjuan        maxAtomic = 8,
3311bf9a05aSzhanglinjuan        maxTransfer = 64),
3321bf9a05aSzhanglinjuan      beatBytes = 8
3331bf9a05aSzhanglinjuan    ))
3341bf9a05aSzhanglinjuan    error.node := error_xbar.get
33578a8cd25Szhanglinjuan    axi4peripheral_node :=
33678a8cd25Szhanglinjuan      AXI4Deinterleaver(8) :=
33778a8cd25Szhanglinjuan      TLToAXI4() :=
3381bf9a05aSzhanglinjuan      error_xbar.get :=
33996d2b585Szhanglinjuan      TLBuffer.chainNode(2, Some("llc_to_peripheral_buffer")) :=
34078a8cd25Szhanglinjuan      TLFIFOFixer() :=
34178a8cd25Szhanglinjuan      TLWidthWidget(L3OuterBusWidth / 8) :=
34278a8cd25Szhanglinjuan      AXI4ToTL() :=
34378a8cd25Szhanglinjuan      AXI4UserYanker() :=
3441bf9a05aSzhanglinjuan      soc_xbar.get
34578a8cd25Szhanglinjuan  } else {
34678a8cd25Szhanglinjuan    axi4peripheral_node :=
34773be64b3SJiawei Lin      AXI4Deinterleaver(8) :=
34873be64b3SJiawei Lin      TLToAXI4() :=
349acc88887SJiawei Lin      TLBuffer.chainNode(3) :=
35078a8cd25Szhanglinjuan      peripheralXbar.get
35178a8cd25Szhanglinjuan  }
35273be64b3SJiawei Lin
35373be64b3SJiawei Lin  val peripheral = InModuleBody {
35473be64b3SJiawei Lin    peripheralNode.makeIOs()
35573be64b3SJiawei Lin  }
35673be64b3SJiawei Lin
35773be64b3SJiawei Lin}
35873be64b3SJiawei Lin
3594b40434cSzhanglinjuanclass MemMisc()(implicit p: Parameters) extends BaseSoC
36073be64b3SJiawei Lin  with HaveAXI4MemPort
36198c71602SJiawei Lin  with PMAConst
36278a8cd25Szhanglinjuan  with HaveAXI4PeripheralPort
36373be64b3SJiawei Lin{
3644b40434cSzhanglinjuan
36578a8cd25Szhanglinjuan  val peripheral_ports = Option.when(!enableCHI)(Array.fill(NumCores) { TLTempNode() })
36678a8cd25Szhanglinjuan  val core_to_l3_ports = Option.when(!enableCHI)(Array.fill(NumCores) { TLTempNode() })
36773be64b3SJiawei Lin
36873be64b3SJiawei Lin  val l3_in = TLTempNode()
36973be64b3SJiawei Lin  val l3_out = TLTempNode()
37073be64b3SJiawei Lin
3711bf9a05aSzhanglinjuan  val device_xbar = Option.when(enableCHI)(TLXbar())
3721bf9a05aSzhanglinjuan  device_xbar.foreach(_ := error_xbar.get)
37378a8cd25Szhanglinjuan
3741bf9a05aSzhanglinjuan  if (l3_banked_xbar.isDefined) {
3751bf9a05aSzhanglinjuan    l3_in :*= TLEdgeBuffer(_ => true, Some("L3_in_buffer")) :*= l3_banked_xbar.get
3761bf9a05aSzhanglinjuan    l3_banked_xbar.get := TLBuffer.chainNode(2) := l3_xbar.get
3771bf9a05aSzhanglinjuan  }
37878a8cd25Szhanglinjuan  bankedNode match {
37978a8cd25Szhanglinjuan    case Some(bankBinder) =>
38078a8cd25Szhanglinjuan      bankBinder :*= TLLogger("MEM_L3", !debugOpts.FPGAPlatform && debugOpts.AlwaysBasicDB) :*= l3_out
38178a8cd25Szhanglinjuan    case None =>
38278a8cd25Szhanglinjuan  }
38373be64b3SJiawei Lin
38473be64b3SJiawei Lin  if(soc.L3CacheParamsOpt.isEmpty){
38573be64b3SJiawei Lin    l3_out :*= l3_in
38673be64b3SJiawei Lin  }
38773be64b3SJiawei Lin
38878a8cd25Szhanglinjuan  if (!enableCHI) {
38978a8cd25Szhanglinjuan    for (port <- peripheral_ports.get) {
39078a8cd25Szhanglinjuan      peripheralXbar.get := TLBuffer.chainNode(2, Some("L2_to_L3_peripheral_buffer")) := port
39178a8cd25Szhanglinjuan    }
39273be64b3SJiawei Lin  }
39373be64b3SJiawei Lin
3944b40434cSzhanglinjuan  core_to_l3_ports.foreach { case _ =>
3954b40434cSzhanglinjuan    for ((core_out, i) <- core_to_l3_ports.get.zipWithIndex){
3961bf9a05aSzhanglinjuan      l3_banked_xbar.get :=*
39762129679Swakafa        TLLogger(s"L3_L2_$i", !debugOpts.FPGAPlatform && debugOpts.AlwaysBasicDB) :=*
39859239bc9SJiawei Lin        TLBuffer() :=
39959239bc9SJiawei Lin        core_out
40073be64b3SJiawei Lin    }
4014b40434cSzhanglinjuan  }
40278a8cd25Szhanglinjuan
403bbe4506dSTang Haojin  val clint = LazyModule(new CLINT(CLINTParams(soc.CLINTRange.base), 8))
4041bf9a05aSzhanglinjuan  if (enableCHI) { clint.node := device_xbar.get }
40578a8cd25Szhanglinjuan  else { clint.node := peripheralXbar.get }
40673be64b3SJiawei Lin
40773be64b3SJiawei Lin  class IntSourceNodeToModule(val num: Int)(implicit p: Parameters) extends LazyModule {
40873be64b3SJiawei Lin    val sourceNode = IntSourceNode(IntSourcePortSimple(num, ports = 1, sources = 1))
409935edac4STang Haojin    class IntSourceNodeToModuleImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) {
41073be64b3SJiawei Lin      val in = IO(Input(Vec(num, Bool())))
41173be64b3SJiawei Lin      in.zip(sourceNode.out.head._1).foreach{ case (i, s) => s := i }
41273be64b3SJiawei Lin    }
413935edac4STang Haojin    lazy val module = new IntSourceNodeToModuleImp(this)
41473be64b3SJiawei Lin  }
41573be64b3SJiawei Lin
416bbe4506dSTang Haojin  val plic = LazyModule(new TLPLIC(PLICParams(soc.PLICRange.base), 8))
41773be64b3SJiawei Lin  val plicSource = LazyModule(new IntSourceNodeToModule(NrExtIntr))
41873be64b3SJiawei Lin
41973be64b3SJiawei Lin  plic.intnode := plicSource.sourceNode
4201bf9a05aSzhanglinjuan  if (enableCHI) { plic.node := device_xbar.get }
42178a8cd25Szhanglinjuan  else { plic.node := peripheralXbar.get }
42273be64b3SJiawei Lin
42334ab1ae9SJiawei Lin  val pll_node = TLRegisterNode(
424bbe4506dSTang Haojin    address = Seq(soc.PLLRange),
42534ab1ae9SJiawei Lin    device = new SimpleDevice("pll_ctrl", Seq()),
42634ab1ae9SJiawei Lin    beatBytes = 8,
42734ab1ae9SJiawei Lin    concurrency = 1
42834ab1ae9SJiawei Lin  )
4291bf9a05aSzhanglinjuan  if (enableCHI) { pll_node := device_xbar.get }
43078a8cd25Szhanglinjuan  else { pll_node := peripheralXbar.get }
43134ab1ae9SJiawei Lin
43273be64b3SJiawei Lin  val debugModule = LazyModule(new DebugModule(NumCores)(p))
43378a8cd25Szhanglinjuan  if (enableCHI) {
4341bf9a05aSzhanglinjuan    debugModule.debug.node := device_xbar.get
43578a8cd25Szhanglinjuan    // TODO: l3_xbar
43678a8cd25Szhanglinjuan    debugModule.debug.dmInner.dmInner.sb2tlOpt.foreach { sb2tl =>
4371bf9a05aSzhanglinjuan      error_xbar.get := sb2tl.node
43878a8cd25Szhanglinjuan    }
43978a8cd25Szhanglinjuan  } else {
44078a8cd25Szhanglinjuan    debugModule.debug.node := peripheralXbar.get
44173be64b3SJiawei Lin    debugModule.debug.dmInner.dmInner.sb2tlOpt.foreach { sb2tl  =>
44276ed5703Schengguanghui      l3_xbar.get := TLBuffer() := TLWidthWidget(1) := sb2tl.node
44373be64b3SJiawei Lin    }
44478a8cd25Szhanglinjuan  }
44573be64b3SJiawei Lin
44698c71602SJiawei Lin  val pma = LazyModule(new TLPMA)
44778a8cd25Szhanglinjuan  if (enableCHI) {
4481bf9a05aSzhanglinjuan    pma.node := TLBuffer.chainNode(4) := device_xbar.get
44978a8cd25Szhanglinjuan  } else {
45078a8cd25Szhanglinjuan    pma.node := TLBuffer.chainNode(4) := peripheralXbar.get
45178a8cd25Szhanglinjuan  }
45298c71602SJiawei Lin
453935edac4STang Haojin  class SoCMiscImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) {
45473be64b3SJiawei Lin
455935edac4STang Haojin    val debug_module_io = IO(new debugModule.DebugModuleIO)
45673be64b3SJiawei Lin    val ext_intrs = IO(Input(UInt(NrExtIntr.W)))
4579e56439dSHazard    val rtc_clock = IO(Input(Bool()))
45834ab1ae9SJiawei Lin    val pll0_lock = IO(Input(Bool()))
45934ab1ae9SJiawei Lin    val pll0_ctrl = IO(Output(Vec(6, UInt(32.W))))
46098c71602SJiawei Lin    val cacheable_check = IO(new TLPMAIO)
4613bf5eac7SXuan Hu    val clintTime = IO(Output(ValidIO(UInt(64.W))))
46273be64b3SJiawei Lin
46373be64b3SJiawei Lin    debugModule.module.io <> debug_module_io
4649b4044e7SYinan Xu
4659b4044e7SYinan Xu    // sync external interrupts
4669b4044e7SYinan Xu    require(plicSource.module.in.length == ext_intrs.getWidth)
4679b4044e7SYinan Xu    for ((plic_in, interrupt) <- plicSource.module.in.zip(ext_intrs.asBools)) {
4689b4044e7SYinan Xu      val ext_intr_sync = RegInit(0.U(3.W))
4699b4044e7SYinan Xu      ext_intr_sync := Cat(ext_intr_sync(1, 0), interrupt)
470e5c40982SYinan Xu      plic_in := ext_intr_sync(2)
4719b4044e7SYinan Xu    }
4729e56439dSHazard
47398c71602SJiawei Lin    pma.module.io <> cacheable_check
47473be64b3SJiawei Lin
47588ca983fSYinan Xu    // positive edge sampling of the lower-speed rtc_clock
47688ca983fSYinan Xu    val rtcTick = RegInit(0.U(3.W))
47788ca983fSYinan Xu    rtcTick := Cat(rtcTick(1, 0), rtc_clock)
47888ca983fSYinan Xu    clint.module.io.rtcTick := rtcTick(1) && !rtcTick(2)
47988ca983fSYinan Xu
48034ab1ae9SJiawei Lin    val pll_ctrl_regs = Seq.fill(6){ RegInit(0.U(32.W)) }
48134ab1ae9SJiawei Lin    val pll_lock = RegNext(next = pll0_lock, init = false.B)
48234ab1ae9SJiawei Lin
4833bf5eac7SXuan Hu    clintTime := clint.module.io.time
4843bf5eac7SXuan Hu
48534ab1ae9SJiawei Lin    pll0_ctrl <> VecInit(pll_ctrl_regs)
48634ab1ae9SJiawei Lin
48734ab1ae9SJiawei Lin    pll_node.regmap(
48834ab1ae9SJiawei Lin      0x000 -> RegFieldGroup(
48934ab1ae9SJiawei Lin        "Pll", Some("PLL ctrl regs"),
49034ab1ae9SJiawei Lin        pll_ctrl_regs.zipWithIndex.map{
49134ab1ae9SJiawei Lin          case (r, i) => RegField(32, r, RegFieldDesc(
49234ab1ae9SJiawei Lin            s"PLL_ctrl_$i",
49334ab1ae9SJiawei Lin            desc = s"PLL ctrl register #$i"
49434ab1ae9SJiawei Lin          ))
49534ab1ae9SJiawei Lin        } :+ RegField.r(32, Cat(0.U(31.W), pll_lock), RegFieldDesc(
49634ab1ae9SJiawei Lin          "PLL_lock",
49734ab1ae9SJiawei Lin          "PLL lock register"
49834ab1ae9SJiawei Lin        ))
49934ab1ae9SJiawei Lin      )
50034ab1ae9SJiawei Lin    )
50173be64b3SJiawei Lin  }
502935edac4STang Haojin
503935edac4STang Haojin  lazy val module = new SoCMiscImp(this)
5040584d3a8SLinJiawei}
50578a8cd25Szhanglinjuan
5064b40434cSzhanglinjuanclass SoCMisc()(implicit p: Parameters) extends MemMisc
5074b40434cSzhanglinjuan  with HaveSlaveAXI4Port
5084b40434cSzhanglinjuan
509