xref: /XiangShan/src/main/scala/system/SoC.scala (revision 73be64b3fc882a759f70d0852ba42d09c2a44af6)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
17006e1884SZihao Yupackage system
18006e1884SZihao Yu
192225d46eSJiawei Linimport chipsalliance.rocketchip.config.{Field, Parameters}
20006e1884SZihao Yuimport chisel3._
21096ea47eSzhanglinjuanimport chisel3.util._
22*73be64b3SJiawei Linimport device.DebugModule
23*73be64b3SJiawei Linimport freechips.rocketchip.amba.axi4.{AXI4Deinterleaver, AXI4Fragmenter, AXI4IdIndexer, AXI4MasterNode, AXI4MasterParameters, AXI4MasterPortParameters, AXI4SlaveNode, AXI4SlaveParameters, AXI4SlavePortParameters, AXI4ToTL, AXI4UserYanker}
24*73be64b3SJiawei Linimport freechips.rocketchip.devices.tilelink.{CLINT, CLINTParams, DevNullParams, PLICParams, TLError, TLPLIC}
25*73be64b3SJiawei Linimport freechips.rocketchip.diplomacy.{AddressSet, IdRange, InModuleBody, LazyModule, LazyModuleImp, MemoryDevice, RegionType, SimpleDevice, TransferSizes}
26*73be64b3SJiawei Linimport freechips.rocketchip.interrupts.{IntSourceNode, IntSourcePortSimple}
272225d46eSJiawei Linimport xiangshan.{DebugOptionsKey, HasXSParameter, XSBundle, XSCore, XSCoreParameters}
280584d3a8SLinJiaweiimport freechips.rocketchip.tile.{BusErrorUnit, BusErrorUnitParams, BusErrors, L1BusErrors}
29*73be64b3SJiawei Linimport freechips.rocketchip.tilelink.{BankBinder, TLBuffer, TLCacheCork, TLFIFOFixer, TLTempNode, TLToAXI4, TLWidthWidget, TLXbar}
30*73be64b3SJiawei Linimport huancun.debug.TLLogger
31a1ea7f76SJiawei Linimport huancun.{CacheParameters, HCCacheParameters}
32*73be64b3SJiawei Linimport top.BusPerfMonitor
33a428082bSLinJiawei
342225d46eSJiawei Lincase object SoCParamsKey extends Field[SoCParameters]
352225d46eSJiawei Lin
36a428082bSLinJiaweicase class SoCParameters
37a428082bSLinJiawei(
382225d46eSJiawei Lin  cores: List[XSCoreParameters],
39a428082bSLinJiawei  EnableILA: Boolean = false,
40175bcfe9SLinJiawei  extIntrs: Int = 150,
41a1ea7f76SJiawei Lin  L3NBanks: Int = 4,
424f94c0c6SJiawei Lin  L3CacheParamsOpt: Option[HCCacheParameters] = Some(HCCacheParameters(
43a1ea7f76SJiawei Lin    name = "l3",
44a1ea7f76SJiawei Lin    level = 3,
45a1ea7f76SJiawei Lin    ways = 8,
46a1ea7f76SJiawei Lin    sets = 2048 // 1MB per bank
474f94c0c6SJiawei Lin  ))
482225d46eSJiawei Lin){
492225d46eSJiawei Lin  val PAddrBits = cores.map(_.PAddrBits).reduce((x, y) => if(x > y) x else y)
502225d46eSJiawei Lin  // L3 configurations
512225d46eSJiawei Lin  val L3InnerBusWidth = 256
522225d46eSJiawei Lin  val L3BlockSize = 64
532225d46eSJiawei Lin  // on chip network configurations
542225d46eSJiawei Lin  val L3OuterBusWidth = 256
552225d46eSJiawei Lin}
562225d46eSJiawei Lin
572225d46eSJiawei Lintrait HasSoCParameter {
582225d46eSJiawei Lin  implicit val p: Parameters
592225d46eSJiawei Lin
602225d46eSJiawei Lin  val soc = p(SoCParamsKey)
612225d46eSJiawei Lin  val debugOpts = p(DebugOptionsKey)
622225d46eSJiawei Lin  val NumCores = soc.cores.size
63a428082bSLinJiawei  val EnableILA = soc.EnableILA
642225d46eSJiawei Lin
652225d46eSJiawei Lin  // L3 configurations
662225d46eSJiawei Lin  val L3InnerBusWidth = soc.L3InnerBusWidth
672225d46eSJiawei Lin  val L3BlockSize = soc.L3BlockSize
682225d46eSJiawei Lin  val L3NBanks = soc.L3NBanks
692225d46eSJiawei Lin
702225d46eSJiawei Lin  // on chip network configurations
712225d46eSJiawei Lin  val L3OuterBusWidth = soc.L3OuterBusWidth
722225d46eSJiawei Lin
732225d46eSJiawei Lin  val NrExtIntr = soc.extIntrs
74303b861dSZihao Yu}
75303b861dSZihao Yu
761e3fad10SLinJiaweiclass ILABundle extends Bundle {}
77303b861dSZihao Yu
783e586e47Slinjiawei
79*73be64b3SJiawei Linabstract class BaseSoC()(implicit p: Parameters) extends LazyModule with HasSoCParameter {
80*73be64b3SJiawei Lin  val bankedNode = BankBinder(L3NBanks, L3BlockSize)
81*73be64b3SJiawei Lin  val peripheralXbar = TLXbar()
82*73be64b3SJiawei Lin  val l3_xbar = TLXbar()
833e586e47Slinjiawei}
843e586e47Slinjiawei
85*73be64b3SJiawei Lin// We adapt the following three traits from rocket-chip.
86*73be64b3SJiawei Lin// Source: rocket-chip/src/main/scala/subsystem/Ports.scala
87*73be64b3SJiawei Lintrait HaveSlaveAXI4Port {
88*73be64b3SJiawei Lin  this: BaseSoC =>
899637c0c6SLinJiawei
90*73be64b3SJiawei Lin  val idBits = 14
91*73be64b3SJiawei Lin
92*73be64b3SJiawei Lin  val l3FrontendAXI4Node = AXI4MasterNode(Seq(AXI4MasterPortParameters(
93*73be64b3SJiawei Lin    Seq(AXI4MasterParameters(
94*73be64b3SJiawei Lin      name = "dma",
95*73be64b3SJiawei Lin      id = IdRange(0, 1 << idBits)
96*73be64b3SJiawei Lin    ))
97*73be64b3SJiawei Lin  )))
98*73be64b3SJiawei Lin  private val errorDevice = LazyModule(new TLError(
99*73be64b3SJiawei Lin    params = DevNullParams(
100*73be64b3SJiawei Lin      address = Seq(AddressSet(0x0, 0x7fffffffL)),
101*73be64b3SJiawei Lin      maxAtomic = 8,
102*73be64b3SJiawei Lin      maxTransfer = 64),
103*73be64b3SJiawei Lin    beatBytes = L3InnerBusWidth / 8
104*73be64b3SJiawei Lin  ))
105*73be64b3SJiawei Lin  private val error_xbar = TLXbar()
106*73be64b3SJiawei Lin
107*73be64b3SJiawei Lin  error_xbar :=
108*73be64b3SJiawei Lin    TLFIFOFixer() :=
109*73be64b3SJiawei Lin    TLWidthWidget(16) :=
110*73be64b3SJiawei Lin    AXI4ToTL() :=
111*73be64b3SJiawei Lin    AXI4UserYanker(Some(1)) :=
112*73be64b3SJiawei Lin    AXI4Fragmenter() :=
113*73be64b3SJiawei Lin    AXI4IdIndexer(1) :=
114*73be64b3SJiawei Lin    l3FrontendAXI4Node
115*73be64b3SJiawei Lin  errorDevice.node := error_xbar
116*73be64b3SJiawei Lin  l3_xbar :=
117*73be64b3SJiawei Lin    TLBuffer() :=
118*73be64b3SJiawei Lin    error_xbar
119*73be64b3SJiawei Lin
120*73be64b3SJiawei Lin  val dma = InModuleBody {
121*73be64b3SJiawei Lin    l3FrontendAXI4Node.makeIOs()
122*73be64b3SJiawei Lin  }
123*73be64b3SJiawei Lin}
124*73be64b3SJiawei Lin
125*73be64b3SJiawei Lintrait HaveAXI4MemPort {
126*73be64b3SJiawei Lin  this: BaseSoC =>
127*73be64b3SJiawei Lin  val device = new MemoryDevice
128*73be64b3SJiawei Lin  // 40-bit physical address
129*73be64b3SJiawei Lin  val memRange = AddressSet(0x00000000L, 0xffffffffffL).subtract(AddressSet(0x0L, 0x7fffffffL))
130*73be64b3SJiawei Lin  val memAXI4SlaveNode = AXI4SlaveNode(Seq(
131*73be64b3SJiawei Lin    AXI4SlavePortParameters(
132*73be64b3SJiawei Lin      slaves = Seq(
133*73be64b3SJiawei Lin        AXI4SlaveParameters(
134*73be64b3SJiawei Lin          address = memRange,
135*73be64b3SJiawei Lin          regionType = RegionType.UNCACHED,
136*73be64b3SJiawei Lin          executable = true,
137*73be64b3SJiawei Lin          supportsRead = TransferSizes(1, L3BlockSize),
138*73be64b3SJiawei Lin          supportsWrite = TransferSizes(1, L3BlockSize),
139*73be64b3SJiawei Lin          interleavedId = Some(0),
140*73be64b3SJiawei Lin          resources = device.reg("mem")
1410584d3a8SLinJiawei        )
142*73be64b3SJiawei Lin      ),
143*73be64b3SJiawei Lin      beatBytes = L3OuterBusWidth / 8
144*73be64b3SJiawei Lin    )
145*73be64b3SJiawei Lin  ))
146*73be64b3SJiawei Lin
147*73be64b3SJiawei Lin  val mem_xbar = TLXbar()
148*73be64b3SJiawei Lin  mem_xbar :=* TLBuffer() :=* TLCacheCork() :=* bankedNode
149*73be64b3SJiawei Lin  memAXI4SlaveNode :=
150*73be64b3SJiawei Lin    AXI4UserYanker() :=
151*73be64b3SJiawei Lin    AXI4Deinterleaver(L3BlockSize) :=
152*73be64b3SJiawei Lin    TLToAXI4() :=
153*73be64b3SJiawei Lin    TLWidthWidget(L3OuterBusWidth / 8) :=
154*73be64b3SJiawei Lin    mem_xbar
155*73be64b3SJiawei Lin
156*73be64b3SJiawei Lin  val memory = InModuleBody {
157*73be64b3SJiawei Lin    memAXI4SlaveNode.makeIOs()
158*73be64b3SJiawei Lin  }
159*73be64b3SJiawei Lin}
160*73be64b3SJiawei Lin
161*73be64b3SJiawei Lintrait HaveAXI4PeripheralPort { this: BaseSoC =>
162*73be64b3SJiawei Lin  // on-chip devices: 0x3800_0000 - 0x3fff_ffff 0x0000_0000 - 0x0000_0fff
163*73be64b3SJiawei Lin  val onChipPeripheralRange = AddressSet(0x38000000L, 0x07ffffffL)
164*73be64b3SJiawei Lin  val uartRange = AddressSet(0x40600000, 0xf)
165*73be64b3SJiawei Lin  val uartDevice = new SimpleDevice("serial", Seq("xilinx,uartlite"))
166*73be64b3SJiawei Lin  val uartParams = AXI4SlaveParameters(
167*73be64b3SJiawei Lin    address = Seq(uartRange),
168*73be64b3SJiawei Lin    regionType = RegionType.UNCACHED,
169*73be64b3SJiawei Lin    supportsRead = TransferSizes(1, 8),
170*73be64b3SJiawei Lin    supportsWrite = TransferSizes(1, 8),
171*73be64b3SJiawei Lin    resources = uartDevice.reg
172*73be64b3SJiawei Lin  )
173*73be64b3SJiawei Lin  val peripheralRange = AddressSet(
174*73be64b3SJiawei Lin    0x0, 0x7fffffff
175*73be64b3SJiawei Lin  ).subtract(onChipPeripheralRange).flatMap(x => x.subtract(uartRange))
176*73be64b3SJiawei Lin  val peripheralNode = AXI4SlaveNode(Seq(AXI4SlavePortParameters(
177*73be64b3SJiawei Lin    Seq(AXI4SlaveParameters(
178*73be64b3SJiawei Lin      address = peripheralRange,
179*73be64b3SJiawei Lin      regionType = RegionType.UNCACHED,
180*73be64b3SJiawei Lin      supportsRead = TransferSizes(1, 8),
181*73be64b3SJiawei Lin      supportsWrite = TransferSizes(1, 8),
182*73be64b3SJiawei Lin      interleavedId = Some(0)
183*73be64b3SJiawei Lin    ), uartParams),
184*73be64b3SJiawei Lin    beatBytes = 8
185*73be64b3SJiawei Lin  )))
186*73be64b3SJiawei Lin
187*73be64b3SJiawei Lin  peripheralNode :=
188*73be64b3SJiawei Lin    AXI4UserYanker() :=
189*73be64b3SJiawei Lin    AXI4Deinterleaver(8) :=
190*73be64b3SJiawei Lin    TLToAXI4() :=
191*73be64b3SJiawei Lin    peripheralXbar
192*73be64b3SJiawei Lin
193*73be64b3SJiawei Lin  val peripheral = InModuleBody {
194*73be64b3SJiawei Lin    peripheralNode.makeIOs()
195*73be64b3SJiawei Lin  }
196*73be64b3SJiawei Lin
197*73be64b3SJiawei Lin}
198*73be64b3SJiawei Lin
199*73be64b3SJiawei Linclass SoCMisc()(implicit p: Parameters) extends BaseSoC
200*73be64b3SJiawei Lin  with HaveAXI4MemPort
201*73be64b3SJiawei Lin  with HaveAXI4PeripheralPort
202*73be64b3SJiawei Lin  with HaveSlaveAXI4Port
203*73be64b3SJiawei Lin{
204*73be64b3SJiawei Lin  val peripheral_ports = Array.fill(NumCores) { TLTempNode() }
205*73be64b3SJiawei Lin  val core_to_l3_ports = Array.fill(NumCores) { TLTempNode() }
206*73be64b3SJiawei Lin
207*73be64b3SJiawei Lin  val l3_in = TLTempNode()
208*73be64b3SJiawei Lin  val l3_out = TLTempNode()
209*73be64b3SJiawei Lin  val l3_mem_pmu = BusPerfMonitor(enable = !debugOpts.FPGAPlatform)
210*73be64b3SJiawei Lin
211*73be64b3SJiawei Lin  l3_in :*= TLBuffer() :*= l3_xbar
212*73be64b3SJiawei Lin  bankedNode :*= TLLogger("MEM_L3", !debugOpts.FPGAPlatform) :*= l3_mem_pmu :*= l3_out
213*73be64b3SJiawei Lin
214*73be64b3SJiawei Lin  if(soc.L3CacheParamsOpt.isEmpty){
215*73be64b3SJiawei Lin    l3_out :*= l3_in
216*73be64b3SJiawei Lin  }
217*73be64b3SJiawei Lin
218*73be64b3SJiawei Lin  for(port <- peripheral_ports) {
219*73be64b3SJiawei Lin    peripheralXbar := port
220*73be64b3SJiawei Lin  }
221*73be64b3SJiawei Lin
222*73be64b3SJiawei Lin  for ((core_out, i) <- core_to_l3_ports.zipWithIndex){
223*73be64b3SJiawei Lin    l3_xbar :=* TLBuffer() :=* TLLogger(s"L3_L2_$i", !debugOpts.FPGAPlatform) :=* core_out
224*73be64b3SJiawei Lin  }
225*73be64b3SJiawei Lin
226*73be64b3SJiawei Lin  val clint = LazyModule(new CLINT(CLINTParams(0x38000000L), 8))
227*73be64b3SJiawei Lin  clint.node := peripheralXbar
228*73be64b3SJiawei Lin
229*73be64b3SJiawei Lin  class IntSourceNodeToModule(val num: Int)(implicit p: Parameters) extends LazyModule {
230*73be64b3SJiawei Lin    val sourceNode = IntSourceNode(IntSourcePortSimple(num, ports = 1, sources = 1))
231*73be64b3SJiawei Lin    lazy val module = new LazyModuleImp(this){
232*73be64b3SJiawei Lin      val in = IO(Input(Vec(num, Bool())))
233*73be64b3SJiawei Lin      in.zip(sourceNode.out.head._1).foreach{ case (i, s) => s := i }
234*73be64b3SJiawei Lin    }
235*73be64b3SJiawei Lin  }
236*73be64b3SJiawei Lin
237*73be64b3SJiawei Lin  val plic = LazyModule(new TLPLIC(PLICParams(0x3c000000L), 8))
238*73be64b3SJiawei Lin  val plicSource = LazyModule(new IntSourceNodeToModule(NrExtIntr))
239*73be64b3SJiawei Lin
240*73be64b3SJiawei Lin  plic.intnode := plicSource.sourceNode
241*73be64b3SJiawei Lin  plic.node := peripheralXbar
242*73be64b3SJiawei Lin
243*73be64b3SJiawei Lin  val debugModule = LazyModule(new DebugModule(NumCores)(p))
244*73be64b3SJiawei Lin  debugModule.debug.node := peripheralXbar
245*73be64b3SJiawei Lin  debugModule.debug.dmInner.dmInner.sb2tlOpt.foreach { sb2tl  =>
246*73be64b3SJiawei Lin    l3_xbar := TLBuffer() := TLWidthWidget(1) := sb2tl.node
247*73be64b3SJiawei Lin  }
248*73be64b3SJiawei Lin
249*73be64b3SJiawei Lin  lazy val module = new LazyModuleImp(this){
250*73be64b3SJiawei Lin
251*73be64b3SJiawei Lin    val debug_module_io = IO(chiselTypeOf(debugModule.module.io))
252*73be64b3SJiawei Lin    val ext_intrs = IO(Input(UInt(NrExtIntr.W)))
253*73be64b3SJiawei Lin
254*73be64b3SJiawei Lin    debugModule.module.io <> debug_module_io
255*73be64b3SJiawei Lin    plicSource.module.in := ext_intrs.asBools
256*73be64b3SJiawei Lin
257*73be64b3SJiawei Lin    val freq = 100
258*73be64b3SJiawei Lin    val cnt = RegInit(freq.U)
259*73be64b3SJiawei Lin    val tick = cnt === 0.U
260*73be64b3SJiawei Lin    cnt := Mux(tick, freq.U, cnt - 1.U)
261*73be64b3SJiawei Lin    clint.module.io.rtcTick := tick
262*73be64b3SJiawei Lin  }
2630584d3a8SLinJiawei}
264