xref: /XiangShan/src/main/scala/system/SoC.scala (revision 1bf9a05a3d43e4022922573991a2cecba0de2294)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
17006e1884SZihao Yupackage system
18006e1884SZihao Yu
198891a219SYinan Xuimport org.chipsalliance.cde.config.{Field, Parameters}
20006e1884SZihao Yuimport chisel3._
21096ea47eSzhanglinjuanimport chisel3.util._
2298c71602SJiawei Linimport device.{DebugModule, TLPMA, TLPMAIO}
236695f071SYinan Xuimport freechips.rocketchip.amba.axi4._
246695f071SYinan Xuimport freechips.rocketchip.devices.tilelink._
2573be64b3SJiawei Linimport freechips.rocketchip.diplomacy.{AddressSet, IdRange, InModuleBody, LazyModule, LazyModuleImp, MemoryDevice, RegionType, SimpleDevice, TransferSizes}
2673be64b3SJiawei Linimport freechips.rocketchip.interrupts.{IntSourceNode, IntSourcePortSimple}
276695f071SYinan Xuimport freechips.rocketchip.regmapper.{RegField, RegFieldDesc, RegFieldGroup}
2898c71602SJiawei Linimport freechips.rocketchip.tilelink._
2998c71602SJiawei Linimport huancun._
306695f071SYinan Xuimport top.BusPerfMonitor
316695f071SYinan Xuimport utility.{ReqSourceKey, TLClientsMerger, TLEdgeBuffer, TLLogger}
326695f071SYinan Xuimport xiangshan.backend.fu.PMAConst
336695f071SYinan Xuimport xiangshan.{DebugOptionsKey, XSTileKey}
344b40434cSzhanglinjuanimport coupledL2.EnableCHI
35a428082bSLinJiawei
362225d46eSJiawei Lincase object SoCParamsKey extends Field[SoCParameters]
372225d46eSJiawei Lin
38a428082bSLinJiaweicase class SoCParameters
39a428082bSLinJiawei(
40a428082bSLinJiawei  EnableILA: Boolean = false,
412f30d658SYinan Xu  PAddrBits: Int = 36,
42c679fdb3Srvcoresjw  extIntrs: Int = 64,
43a1ea7f76SJiawei Lin  L3NBanks: Int = 4,
444f94c0c6SJiawei Lin  L3CacheParamsOpt: Option[HCCacheParameters] = Some(HCCacheParameters(
45d2b20d1aSTang Haojin    name = "L3",
46a1ea7f76SJiawei Lin    level = 3,
47a1ea7f76SJiawei Lin    ways = 8,
48a1ea7f76SJiawei Lin    sets = 2048 // 1MB per bank
49a5b77de4STang Haojin  )),
504b40434cSzhanglinjuan  XSTopPrefix: Option[String] = None,
514b40434cSzhanglinjuan  NodeIDWidth: Int = 7
522225d46eSJiawei Lin){
532225d46eSJiawei Lin  // L3 configurations
542225d46eSJiawei Lin  val L3InnerBusWidth = 256
552225d46eSJiawei Lin  val L3BlockSize = 64
562225d46eSJiawei Lin  // on chip network configurations
572225d46eSJiawei Lin  val L3OuterBusWidth = 256
582225d46eSJiawei Lin}
592225d46eSJiawei Lin
602225d46eSJiawei Lintrait HasSoCParameter {
612225d46eSJiawei Lin  implicit val p: Parameters
622225d46eSJiawei Lin
632225d46eSJiawei Lin  val soc = p(SoCParamsKey)
642225d46eSJiawei Lin  val debugOpts = p(DebugOptionsKey)
6534ab1ae9SJiawei Lin  val tiles = p(XSTileKey)
6678a8cd25Szhanglinjuan  val enableCHI = p(EnableCHI)
6734ab1ae9SJiawei Lin
6834ab1ae9SJiawei Lin  val NumCores = tiles.size
69a428082bSLinJiawei  val EnableILA = soc.EnableILA
702225d46eSJiawei Lin
712225d46eSJiawei Lin  // L3 configurations
722225d46eSJiawei Lin  val L3InnerBusWidth = soc.L3InnerBusWidth
732225d46eSJiawei Lin  val L3BlockSize = soc.L3BlockSize
742225d46eSJiawei Lin  val L3NBanks = soc.L3NBanks
752225d46eSJiawei Lin
762225d46eSJiawei Lin  // on chip network configurations
772225d46eSJiawei Lin  val L3OuterBusWidth = soc.L3OuterBusWidth
782225d46eSJiawei Lin
792225d46eSJiawei Lin  val NrExtIntr = soc.extIntrs
80303b861dSZihao Yu}
81303b861dSZihao Yu
821e3fad10SLinJiaweiclass ILABundle extends Bundle {}
83303b861dSZihao Yu
843e586e47Slinjiawei
8573be64b3SJiawei Linabstract class BaseSoC()(implicit p: Parameters) extends LazyModule with HasSoCParameter {
8678a8cd25Szhanglinjuan  val bankedNode = Option.when(!enableCHI)(BankBinder(L3NBanks, L3BlockSize))
8778a8cd25Szhanglinjuan  val peripheralXbar = Option.when(!enableCHI)(TLXbar())
88*1bf9a05aSzhanglinjuan  val l3_xbar = Option.when(!enableCHI)(TLXbar())
89*1bf9a05aSzhanglinjuan  val l3_banked_xbar = Option.when(!enableCHI)(TLXbar())
9078a8cd25Szhanglinjuan
91*1bf9a05aSzhanglinjuan  val soc_xbar = Option.when(enableCHI)(AXI4Xbar())
923e586e47Slinjiawei}
933e586e47Slinjiawei
9473be64b3SJiawei Lin// We adapt the following three traits from rocket-chip.
9573be64b3SJiawei Lin// Source: rocket-chip/src/main/scala/subsystem/Ports.scala
9673be64b3SJiawei Lintrait HaveSlaveAXI4Port {
9773be64b3SJiawei Lin  this: BaseSoC =>
989637c0c6SLinJiawei
9973be64b3SJiawei Lin  val idBits = 14
10073be64b3SJiawei Lin
10173be64b3SJiawei Lin  val l3FrontendAXI4Node = AXI4MasterNode(Seq(AXI4MasterPortParameters(
10273be64b3SJiawei Lin    Seq(AXI4MasterParameters(
10373be64b3SJiawei Lin      name = "dma",
10473be64b3SJiawei Lin      id = IdRange(0, 1 << idBits)
10573be64b3SJiawei Lin    ))
10673be64b3SJiawei Lin  )))
107*1bf9a05aSzhanglinjuan
108*1bf9a05aSzhanglinjuan  if (l3_xbar.isDefined) {
109*1bf9a05aSzhanglinjuan    val errorDevice = LazyModule(new TLError(
11073be64b3SJiawei Lin      params = DevNullParams(
11173be64b3SJiawei Lin        address = Seq(AddressSet(0x0, 0x7fffffffL)),
11273be64b3SJiawei Lin        maxAtomic = 8,
11373be64b3SJiawei Lin        maxTransfer = 64),
11473be64b3SJiawei Lin      beatBytes = L3InnerBusWidth / 8
11573be64b3SJiawei Lin    ))
116*1bf9a05aSzhanglinjuan    errorDevice.node :=
117*1bf9a05aSzhanglinjuan      l3_xbar.get :=
11873be64b3SJiawei Lin      TLFIFOFixer() :=
11908bf93ffSrvcoresjw      TLWidthWidget(32) :=
12073be64b3SJiawei Lin      AXI4ToTL() :=
12173be64b3SJiawei Lin      AXI4UserYanker(Some(1)) :=
12273be64b3SJiawei Lin      AXI4Fragmenter() :=
123be340b14SJiawei Lin      AXI4Buffer() :=
124be340b14SJiawei Lin      AXI4Buffer() :=
12573be64b3SJiawei Lin      AXI4IdIndexer(1) :=
12673be64b3SJiawei Lin      l3FrontendAXI4Node
127*1bf9a05aSzhanglinjuan  }
12873be64b3SJiawei Lin
12973be64b3SJiawei Lin  val dma = InModuleBody {
13073be64b3SJiawei Lin    l3FrontendAXI4Node.makeIOs()
13173be64b3SJiawei Lin  }
13273be64b3SJiawei Lin}
13373be64b3SJiawei Lin
13473be64b3SJiawei Lintrait HaveAXI4MemPort {
13573be64b3SJiawei Lin  this: BaseSoC =>
13673be64b3SJiawei Lin  val device = new MemoryDevice
1372f30d658SYinan Xu  // 36-bit physical address
1382f30d658SYinan Xu  val memRange = AddressSet(0x00000000L, 0xfffffffffL).subtract(AddressSet(0x0L, 0x7fffffffL))
13973be64b3SJiawei Lin  val memAXI4SlaveNode = AXI4SlaveNode(Seq(
14073be64b3SJiawei Lin    AXI4SlavePortParameters(
14173be64b3SJiawei Lin      slaves = Seq(
14273be64b3SJiawei Lin        AXI4SlaveParameters(
14373be64b3SJiawei Lin          address = memRange,
14473be64b3SJiawei Lin          regionType = RegionType.UNCACHED,
14573be64b3SJiawei Lin          executable = true,
14673be64b3SJiawei Lin          supportsRead = TransferSizes(1, L3BlockSize),
14773be64b3SJiawei Lin          supportsWrite = TransferSizes(1, L3BlockSize),
14873be64b3SJiawei Lin          interleavedId = Some(0),
14973be64b3SJiawei Lin          resources = device.reg("mem")
1500584d3a8SLinJiawei        )
15173be64b3SJiawei Lin      ),
1526695f071SYinan Xu      beatBytes = L3OuterBusWidth / 8,
1536695f071SYinan Xu      requestKeys = if (debugOpts.FPGAPlatform) Seq() else Seq(ReqSourceKey),
15473be64b3SJiawei Lin    )
15573be64b3SJiawei Lin  ))
15673be64b3SJiawei Lin
15773be64b3SJiawei Lin  val mem_xbar = TLXbar()
15878a8cd25Szhanglinjuan  val l3_mem_pmu = BusPerfMonitor(name = "L3_Mem", enable = !debugOpts.FPGAPlatform && !enableCHI, stat_latency = true)
15978a8cd25Szhanglinjuan  val axi4mem_node = AXI4IdentityNode()
16078a8cd25Szhanglinjuan
16178a8cd25Szhanglinjuan  if (enableCHI) {
16278a8cd25Szhanglinjuan    axi4mem_node :=
163*1bf9a05aSzhanglinjuan      soc_xbar.get
16478a8cd25Szhanglinjuan  } else {
16529230e82SJiawei Lin    mem_xbar :=*
166d2b20d1aSTang Haojin      TLBuffer.chainNode(2) :=
167d2b20d1aSTang Haojin      TLCacheCork() :=
168d2b20d1aSTang Haojin      l3_mem_pmu :=
169d2b20d1aSTang Haojin      TLClientsMerger() :=
17029230e82SJiawei Lin      TLXbar() :=*
17178a8cd25Szhanglinjuan      bankedNode.get
17229230e82SJiawei Lin
17329230e82SJiawei Lin    mem_xbar :=
17429230e82SJiawei Lin      TLWidthWidget(8) :=
175b7291c09SJiawei Lin      TLBuffer.chainNode(3, name = Some("PeripheralXbar_to_MemXbar_buffer")) :=
17678a8cd25Szhanglinjuan      peripheralXbar.get
17778a8cd25Szhanglinjuan
17878a8cd25Szhanglinjuan    axi4mem_node :=
17978a8cd25Szhanglinjuan      TLToAXI4() :=
18078a8cd25Szhanglinjuan      TLSourceShrinker(64) :=
18178a8cd25Szhanglinjuan      TLWidthWidget(L3OuterBusWidth / 8) :=
18278a8cd25Szhanglinjuan      TLBuffer.chainNode(2) :=
18378a8cd25Szhanglinjuan      mem_xbar
18478a8cd25Szhanglinjuan  }
18529230e82SJiawei Lin
18629230e82SJiawei Lin  memAXI4SlaveNode :=
187be340b14SJiawei Lin    AXI4Buffer() :=
188acc88887SJiawei Lin    AXI4Buffer() :=
189acc88887SJiawei Lin    AXI4Buffer() :=
19008bf93ffSrvcoresjw    AXI4IdIndexer(idBits = 14) :=
19173be64b3SJiawei Lin    AXI4UserYanker() :=
19273be64b3SJiawei Lin    AXI4Deinterleaver(L3BlockSize) :=
19378a8cd25Szhanglinjuan    axi4mem_node
19473be64b3SJiawei Lin
19573be64b3SJiawei Lin  val memory = InModuleBody {
19673be64b3SJiawei Lin    memAXI4SlaveNode.makeIOs()
19773be64b3SJiawei Lin  }
19873be64b3SJiawei Lin}
19973be64b3SJiawei Lin
20073be64b3SJiawei Lintrait HaveAXI4PeripheralPort { this: BaseSoC =>
20173be64b3SJiawei Lin  // on-chip devices: 0x3800_0000 - 0x3fff_ffff 0x0000_0000 - 0x0000_0fff
20273be64b3SJiawei Lin  val onChipPeripheralRange = AddressSet(0x38000000L, 0x07ffffffL)
20378a8cd25Szhanglinjuan  val uartRange = AddressSet(0x40600000, 0x3f)
20473be64b3SJiawei Lin  val uartDevice = new SimpleDevice("serial", Seq("xilinx,uartlite"))
20573be64b3SJiawei Lin  val uartParams = AXI4SlaveParameters(
20673be64b3SJiawei Lin    address = Seq(uartRange),
20773be64b3SJiawei Lin    regionType = RegionType.UNCACHED,
20878a8cd25Szhanglinjuan    supportsRead = TransferSizes(1, 32),
20978a8cd25Szhanglinjuan    supportsWrite = TransferSizes(1, 32),
21073be64b3SJiawei Lin    resources = uartDevice.reg
21173be64b3SJiawei Lin  )
21273be64b3SJiawei Lin  val peripheralRange = AddressSet(
21373be64b3SJiawei Lin    0x0, 0x7fffffff
21473be64b3SJiawei Lin  ).subtract(onChipPeripheralRange).flatMap(x => x.subtract(uartRange))
21573be64b3SJiawei Lin  val peripheralNode = AXI4SlaveNode(Seq(AXI4SlavePortParameters(
21673be64b3SJiawei Lin    Seq(AXI4SlaveParameters(
21773be64b3SJiawei Lin      address = peripheralRange,
21873be64b3SJiawei Lin      regionType = RegionType.UNCACHED,
21978a8cd25Szhanglinjuan      supportsRead = TransferSizes(1, 32),
22078a8cd25Szhanglinjuan      supportsWrite = TransferSizes(1, 32),
22173be64b3SJiawei Lin      interleavedId = Some(0)
22273be64b3SJiawei Lin    ), uartParams),
22373be64b3SJiawei Lin    beatBytes = 8
22473be64b3SJiawei Lin  )))
22578a8cd25Szhanglinjuan
22678a8cd25Szhanglinjuan  val axi4peripheral_node = AXI4IdentityNode()
227*1bf9a05aSzhanglinjuan  val error_xbar = Option.when(enableCHI)(TLXbar())
22873be64b3SJiawei Lin
22973be64b3SJiawei Lin  peripheralNode :=
2309eca914aSYuan Yuchong    AXI4UserYanker() :=
2319eca914aSYuan Yuchong    AXI4IdIndexer(idBits = 2) :=
23259239bc9SJiawei Lin    AXI4Buffer() :=
23359239bc9SJiawei Lin    AXI4Buffer() :=
234be340b14SJiawei Lin    AXI4Buffer() :=
235be340b14SJiawei Lin    AXI4Buffer() :=
23673be64b3SJiawei Lin    AXI4UserYanker() :=
23778a8cd25Szhanglinjuan    // AXI4Deinterleaver(8) :=
23878a8cd25Szhanglinjuan    axi4peripheral_node
23978a8cd25Szhanglinjuan
24078a8cd25Szhanglinjuan  if (enableCHI) {
241*1bf9a05aSzhanglinjuan    val error = LazyModule(new TLError(
242*1bf9a05aSzhanglinjuan      params = DevNullParams(
243*1bf9a05aSzhanglinjuan        address = Seq(AddressSet(0x1000000000L, 0xfffffffffL)),
244*1bf9a05aSzhanglinjuan        maxAtomic = 8,
245*1bf9a05aSzhanglinjuan        maxTransfer = 64),
246*1bf9a05aSzhanglinjuan      beatBytes = 8
247*1bf9a05aSzhanglinjuan    ))
248*1bf9a05aSzhanglinjuan    error.node := error_xbar.get
24978a8cd25Szhanglinjuan    axi4peripheral_node :=
25078a8cd25Szhanglinjuan      AXI4Deinterleaver(8) :=
25178a8cd25Szhanglinjuan      TLToAXI4() :=
252*1bf9a05aSzhanglinjuan      error_xbar.get :=
25378a8cd25Szhanglinjuan      TLFIFOFixer() :=
25478a8cd25Szhanglinjuan      TLWidthWidget(L3OuterBusWidth / 8) :=
25578a8cd25Szhanglinjuan      AXI4ToTL() :=
25678a8cd25Szhanglinjuan      AXI4UserYanker() :=
257*1bf9a05aSzhanglinjuan      soc_xbar.get
25878a8cd25Szhanglinjuan  } else {
25978a8cd25Szhanglinjuan    axi4peripheral_node :=
26073be64b3SJiawei Lin      AXI4Deinterleaver(8) :=
26173be64b3SJiawei Lin      TLToAXI4() :=
262acc88887SJiawei Lin      TLBuffer.chainNode(3) :=
26378a8cd25Szhanglinjuan      peripheralXbar.get
26478a8cd25Szhanglinjuan  }
26573be64b3SJiawei Lin
26673be64b3SJiawei Lin  val peripheral = InModuleBody {
26773be64b3SJiawei Lin    peripheralNode.makeIOs()
26873be64b3SJiawei Lin  }
26973be64b3SJiawei Lin
27073be64b3SJiawei Lin}
27173be64b3SJiawei Lin
2724b40434cSzhanglinjuanclass MemMisc()(implicit p: Parameters) extends BaseSoC
27373be64b3SJiawei Lin  with HaveAXI4MemPort
27498c71602SJiawei Lin  with PMAConst
27578a8cd25Szhanglinjuan  with HaveAXI4PeripheralPort
27673be64b3SJiawei Lin{
2774b40434cSzhanglinjuan
27878a8cd25Szhanglinjuan  val peripheral_ports = Option.when(!enableCHI)(Array.fill(NumCores) { TLTempNode() })
27978a8cd25Szhanglinjuan  val core_to_l3_ports = Option.when(!enableCHI)(Array.fill(NumCores) { TLTempNode() })
28073be64b3SJiawei Lin
28173be64b3SJiawei Lin  val l3_in = TLTempNode()
28273be64b3SJiawei Lin  val l3_out = TLTempNode()
28373be64b3SJiawei Lin
284*1bf9a05aSzhanglinjuan  val device_xbar = Option.when(enableCHI)(TLXbar())
285*1bf9a05aSzhanglinjuan  device_xbar.foreach(_ := error_xbar.get)
28678a8cd25Szhanglinjuan
287*1bf9a05aSzhanglinjuan  if (l3_banked_xbar.isDefined) {
288*1bf9a05aSzhanglinjuan    l3_in :*= TLEdgeBuffer(_ => true, Some("L3_in_buffer")) :*= l3_banked_xbar.get
289*1bf9a05aSzhanglinjuan    l3_banked_xbar.get := TLBuffer.chainNode(2) := l3_xbar.get
290*1bf9a05aSzhanglinjuan  }
29178a8cd25Szhanglinjuan  bankedNode match {
29278a8cd25Szhanglinjuan    case Some(bankBinder) =>
29378a8cd25Szhanglinjuan      bankBinder :*= TLLogger("MEM_L3", !debugOpts.FPGAPlatform && debugOpts.AlwaysBasicDB) :*= l3_out
29478a8cd25Szhanglinjuan    case None =>
29578a8cd25Szhanglinjuan  }
29673be64b3SJiawei Lin
29773be64b3SJiawei Lin  if(soc.L3CacheParamsOpt.isEmpty){
29873be64b3SJiawei Lin    l3_out :*= l3_in
29973be64b3SJiawei Lin  }
30073be64b3SJiawei Lin
30178a8cd25Szhanglinjuan  if (!enableCHI) {
30278a8cd25Szhanglinjuan    for (port <- peripheral_ports.get) {
30378a8cd25Szhanglinjuan      peripheralXbar.get := TLBuffer.chainNode(2, Some("L2_to_L3_peripheral_buffer")) := port
30478a8cd25Szhanglinjuan    }
30573be64b3SJiawei Lin  }
30673be64b3SJiawei Lin
3074b40434cSzhanglinjuan  core_to_l3_ports.foreach { case _ =>
3084b40434cSzhanglinjuan    for ((core_out, i) <- core_to_l3_ports.get.zipWithIndex){
309*1bf9a05aSzhanglinjuan      l3_banked_xbar.get :=*
31062129679Swakafa        TLLogger(s"L3_L2_$i", !debugOpts.FPGAPlatform && debugOpts.AlwaysBasicDB) :=*
31159239bc9SJiawei Lin        TLBuffer() :=
31259239bc9SJiawei Lin        core_out
31373be64b3SJiawei Lin    }
3144b40434cSzhanglinjuan  }
31578a8cd25Szhanglinjuan
31673be64b3SJiawei Lin  val clint = LazyModule(new CLINT(CLINTParams(0x38000000L), 8))
317*1bf9a05aSzhanglinjuan  if (enableCHI) { clint.node := device_xbar.get }
31878a8cd25Szhanglinjuan  else { clint.node := peripheralXbar.get }
31973be64b3SJiawei Lin
32073be64b3SJiawei Lin  class IntSourceNodeToModule(val num: Int)(implicit p: Parameters) extends LazyModule {
32173be64b3SJiawei Lin    val sourceNode = IntSourceNode(IntSourcePortSimple(num, ports = 1, sources = 1))
322935edac4STang Haojin    class IntSourceNodeToModuleImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) {
32373be64b3SJiawei Lin      val in = IO(Input(Vec(num, Bool())))
32473be64b3SJiawei Lin      in.zip(sourceNode.out.head._1).foreach{ case (i, s) => s := i }
32573be64b3SJiawei Lin    }
326935edac4STang Haojin    lazy val module = new IntSourceNodeToModuleImp(this)
32773be64b3SJiawei Lin  }
32873be64b3SJiawei Lin
32973be64b3SJiawei Lin  val plic = LazyModule(new TLPLIC(PLICParams(0x3c000000L), 8))
33073be64b3SJiawei Lin  val plicSource = LazyModule(new IntSourceNodeToModule(NrExtIntr))
33173be64b3SJiawei Lin
33273be64b3SJiawei Lin  plic.intnode := plicSource.sourceNode
333*1bf9a05aSzhanglinjuan  if (enableCHI) { plic.node := device_xbar.get }
33478a8cd25Szhanglinjuan  else { plic.node := peripheralXbar.get }
33573be64b3SJiawei Lin
33634ab1ae9SJiawei Lin  val pll_node = TLRegisterNode(
33734ab1ae9SJiawei Lin    address = Seq(AddressSet(0x3a000000L, 0xfff)),
33834ab1ae9SJiawei Lin    device = new SimpleDevice("pll_ctrl", Seq()),
33934ab1ae9SJiawei Lin    beatBytes = 8,
34034ab1ae9SJiawei Lin    concurrency = 1
34134ab1ae9SJiawei Lin  )
342*1bf9a05aSzhanglinjuan  if (enableCHI) { pll_node := device_xbar.get }
34378a8cd25Szhanglinjuan  else { pll_node := peripheralXbar.get }
34434ab1ae9SJiawei Lin
34573be64b3SJiawei Lin  val debugModule = LazyModule(new DebugModule(NumCores)(p))
34678a8cd25Szhanglinjuan  if (enableCHI) {
347*1bf9a05aSzhanglinjuan    debugModule.debug.node := device_xbar.get
34878a8cd25Szhanglinjuan    // TODO: l3_xbar
34978a8cd25Szhanglinjuan    debugModule.debug.dmInner.dmInner.sb2tlOpt.foreach { sb2tl =>
350*1bf9a05aSzhanglinjuan      error_xbar.get := sb2tl.node
35178a8cd25Szhanglinjuan    }
35278a8cd25Szhanglinjuan  } else {
35378a8cd25Szhanglinjuan    debugModule.debug.node := peripheralXbar.get
35473be64b3SJiawei Lin    debugModule.debug.dmInner.dmInner.sb2tlOpt.foreach { sb2tl  =>
355*1bf9a05aSzhanglinjuan      l3_xbar.get := TLBuffer() := sb2tl.node
35673be64b3SJiawei Lin    }
35778a8cd25Szhanglinjuan  }
35873be64b3SJiawei Lin
35998c71602SJiawei Lin  val pma = LazyModule(new TLPMA)
36078a8cd25Szhanglinjuan  if (enableCHI) {
361*1bf9a05aSzhanglinjuan    pma.node := TLBuffer.chainNode(4) := device_xbar.get
36278a8cd25Szhanglinjuan  } else {
36378a8cd25Szhanglinjuan    pma.node := TLBuffer.chainNode(4) := peripheralXbar.get
36478a8cd25Szhanglinjuan  }
36598c71602SJiawei Lin
366935edac4STang Haojin  class SoCMiscImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) {
36773be64b3SJiawei Lin
368935edac4STang Haojin    val debug_module_io = IO(new debugModule.DebugModuleIO)
36973be64b3SJiawei Lin    val ext_intrs = IO(Input(UInt(NrExtIntr.W)))
3709e56439dSHazard    val rtc_clock = IO(Input(Bool()))
37134ab1ae9SJiawei Lin    val pll0_lock = IO(Input(Bool()))
37234ab1ae9SJiawei Lin    val pll0_ctrl = IO(Output(Vec(6, UInt(32.W))))
37398c71602SJiawei Lin    val cacheable_check = IO(new TLPMAIO)
37473be64b3SJiawei Lin
37573be64b3SJiawei Lin    debugModule.module.io <> debug_module_io
3769b4044e7SYinan Xu
3779b4044e7SYinan Xu    // sync external interrupts
3789b4044e7SYinan Xu    require(plicSource.module.in.length == ext_intrs.getWidth)
3799b4044e7SYinan Xu    for ((plic_in, interrupt) <- plicSource.module.in.zip(ext_intrs.asBools)) {
3809b4044e7SYinan Xu      val ext_intr_sync = RegInit(0.U(3.W))
3819b4044e7SYinan Xu      ext_intr_sync := Cat(ext_intr_sync(1, 0), interrupt)
382e5c40982SYinan Xu      plic_in := ext_intr_sync(2)
3839b4044e7SYinan Xu    }
3849e56439dSHazard
38598c71602SJiawei Lin    pma.module.io <> cacheable_check
38673be64b3SJiawei Lin
38788ca983fSYinan Xu    // positive edge sampling of the lower-speed rtc_clock
38888ca983fSYinan Xu    val rtcTick = RegInit(0.U(3.W))
38988ca983fSYinan Xu    rtcTick := Cat(rtcTick(1, 0), rtc_clock)
39088ca983fSYinan Xu    clint.module.io.rtcTick := rtcTick(1) && !rtcTick(2)
39188ca983fSYinan Xu
39234ab1ae9SJiawei Lin    val pll_ctrl_regs = Seq.fill(6){ RegInit(0.U(32.W)) }
39334ab1ae9SJiawei Lin    val pll_lock = RegNext(next = pll0_lock, init = false.B)
39434ab1ae9SJiawei Lin
39534ab1ae9SJiawei Lin    pll0_ctrl <> VecInit(pll_ctrl_regs)
39634ab1ae9SJiawei Lin
39734ab1ae9SJiawei Lin    pll_node.regmap(
39834ab1ae9SJiawei Lin      0x000 -> RegFieldGroup(
39934ab1ae9SJiawei Lin        "Pll", Some("PLL ctrl regs"),
40034ab1ae9SJiawei Lin        pll_ctrl_regs.zipWithIndex.map{
40134ab1ae9SJiawei Lin          case (r, i) => RegField(32, r, RegFieldDesc(
40234ab1ae9SJiawei Lin            s"PLL_ctrl_$i",
40334ab1ae9SJiawei Lin            desc = s"PLL ctrl register #$i"
40434ab1ae9SJiawei Lin          ))
40534ab1ae9SJiawei Lin        } :+ RegField.r(32, Cat(0.U(31.W), pll_lock), RegFieldDesc(
40634ab1ae9SJiawei Lin          "PLL_lock",
40734ab1ae9SJiawei Lin          "PLL lock register"
40834ab1ae9SJiawei Lin        ))
40934ab1ae9SJiawei Lin      )
41034ab1ae9SJiawei Lin    )
41173be64b3SJiawei Lin  }
412935edac4STang Haojin
413935edac4STang Haojin  lazy val module = new SoCMiscImp(this)
4140584d3a8SLinJiawei}
41578a8cd25Szhanglinjuan
4164b40434cSzhanglinjuanclass SoCMisc()(implicit p: Parameters) extends MemMisc
4174b40434cSzhanglinjuan  with HaveSlaveAXI4Port
4184b40434cSzhanglinjuan
419