1006e1884SZihao Yupackage system 2006e1884SZihao Yu 35704b623Szhanglinjuanimport noop.{NOOP, NOOPConfig, Cache, L2Cache, CacheConfig} 4006e1884SZihao Yuimport bus.axi4.{AXI4, AXI4Lite} 58f36f779SZihao Yuimport bus.simplebus._ 6006e1884SZihao Yu 7006e1884SZihao Yuimport chisel3._ 8*096ea47eSzhanglinjuanimport chisel3.util._ 9fe820c3dSZihao Yuimport chisel3.util.experimental.BoringUtils 10006e1884SZihao Yu 11006e1884SZihao Yuclass NOOPSoC(implicit val p: NOOPConfig) extends Module { 12006e1884SZihao Yu val io = IO(new Bundle{ 13cdd59e9fSZihao Yu val mem = new AXI4 14ad255e6cSZihao Yu val mmio = (if (p.FPGAPlatform) { new AXI4Lite } else { new SimpleBusUC }) 15fe820c3dSZihao Yu val mtip = Input(Bool()) 16466eb0a8SZihao Yu val meip = Input(Bool()) 17006e1884SZihao Yu }) 18006e1884SZihao Yu 19006e1884SZihao Yu val noop = Module(new NOOP) 20cdd59e9fSZihao Yu val cohMg = Module(new CoherenceInterconnect) 21cdd59e9fSZihao Yu cohMg.io.in(0) <> noop.io.imem 22cdd59e9fSZihao Yu cohMg.io.in(1) <> noop.io.dmem 23*096ea47eSzhanglinjuan 24*096ea47eSzhanglinjuan /* 25*096ea47eSzhanglinjuan // add L2 Cache and Dcache Prefetcher 26*096ea47eSzhanglinjuan val prefetcher = Module(new Prefetcher) 27*096ea47eSzhanglinjuan prefetcher.io.in <> noop.io.prefetchReq 28*096ea47eSzhanglinjuan 29*096ea47eSzhanglinjuan val l2cacheIn = Wire(new SimpleBusUC) 30*096ea47eSzhanglinjuan val l2cacheInReqArb = Module(new Arbiter(noop.io.prefetchReq, 2)) 31*096ea47eSzhanglinjuan l2cacheInReqArb.io.in(0) <> cohMg.io.out.req 32*096ea47eSzhanglinjuan l2cacheInReqArb.io.in(1) <> prefetcher.io.out 33*096ea47eSzhanglinjuan l2cacheIn.req <> l2cacheInReqArb.io.out 34*096ea47eSzhanglinjuan cohMg.io.out.resp <> l2cacheIn.resp 35*096ea47eSzhanglinjuan 36*096ea47eSzhanglinjuan val mmioXbar = Module(new SimpleBusCrossbarNto1(2)) 37*096ea47eSzhanglinjuan 38*096ea47eSzhanglinjuan val l2cacheOut = Wire(new SimpleBusUC) 39*096ea47eSzhanglinjuan l2cacheOut <> Cache(in = l2cacheIn, mmio = mmioXbar.io.in(0), flush = "b00".U, enable = true)(CacheConfig(ro = false, name = "l2cache", cacheLevel = 2)) 40*096ea47eSzhanglinjuan io.mem <> l2cacheOut.toAXI4() 41*096ea47eSzhanglinjuan 42*096ea47eSzhanglinjuan mmioXbar.io.in(1) <> noop.io.mmio 43*096ea47eSzhanglinjuan if (p.FPGAPlatform) io.mmio <> mmioXbar.io.out.toAXI4Lite() 44*096ea47eSzhanglinjuan else io.mmio <> mmioXbar.io.out 45*096ea47eSzhanglinjuan */ 46*096ea47eSzhanglinjuan 47*096ea47eSzhanglinjuan // add L2 Cache 485704b623Szhanglinjuan val mmioXbar = Module(new SimpleBusCrossbarNto1(2)) 49006e1884SZihao Yu 505704b623Szhanglinjuan val l2cacheOut = Wire(new SimpleBusUC) 515704b623Szhanglinjuan l2cacheOut <> Cache(in = cohMg.io.out, mmio = mmioXbar.io.in(0), flush = "b00".U, enable = true)(CacheConfig(ro = false, name = "l2cache", cacheLevel = 2)) 525704b623Szhanglinjuan io.mem <> l2cacheOut.toAXI4() 535704b623Szhanglinjuan 545704b623Szhanglinjuan mmioXbar.io.in(1) <> noop.io.mmio 555704b623Szhanglinjuan if (p.FPGAPlatform) io.mmio <> mmioXbar.io.out.toAXI4Lite() 565704b623Szhanglinjuan else io.mmio <> mmioXbar.io.out 57*096ea47eSzhanglinjuan 585704b623Szhanglinjuan /* 59*096ea47eSzhanglinjuan // no L2 Cache 60*096ea47eSzhanglinjuan io.mem <> cohMg.io.out.toAXI4() 61*096ea47eSzhanglinjuan 62ad255e6cSZihao Yu if (p.FPGAPlatform) io.mmio <> noop.io.mmio.toAXI4Lite() 63006e1884SZihao Yu else io.mmio <> noop.io.mmio 645704b623Szhanglinjuan */ 655d41d760SZihao Yu val mtipSync = RegNext(RegNext(io.mtip)) 66466eb0a8SZihao Yu val meipSync = RegNext(RegNext(io.meip)) 675d41d760SZihao Yu BoringUtils.addSource(mtipSync, "mtip") 68466eb0a8SZihao Yu BoringUtils.addSource(meipSync, "meip") 69006e1884SZihao Yu} 70