xref: /XiangShan/src/main/scala/device/TLTimer.scala (revision 2225d46ebbe2fd16b9b29963c27a7d0385a42709)
1618fb109Slinjiaweipackage device
2618fb109Slinjiawei
3618fb109Slinjiaweiimport chisel3._
4618fb109Slinjiaweiimport chisel3.util._
5618fb109Slinjiaweiimport freechips.rocketchip.tilelink._
6618fb109Slinjiaweiimport chipsalliance.rocketchip.config._
7618fb109Slinjiaweiimport freechips.rocketchip.diplomacy._
81865a66fSlinjiaweiimport freechips.rocketchip.regmapper.RegField
91865a66fSlinjiaweiimport utils.{HasTLDump, XSDebug}
10618fb109Slinjiawei
11*2225d46eSJiawei Linclass TLTimer(address: Seq[AddressSet], sim: Boolean, numCores: Int)(implicit p: Parameters) extends LazyModule {
12618fb109Slinjiawei
13618fb109Slinjiawei  val device = new SimpleDevice("clint", Seq("XiangShan", "clint"))
14618fb109Slinjiawei  val node = TLRegisterNode(address, device, beatBytes = 8)
15618fb109Slinjiawei
165c5bd416Sljw  lazy val module = new LazyModuleImp(this) with HasTLDump {
17799b61e0SLinJiawei    val io = IO(new Bundle() {
18*2225d46eSJiawei Lin      val mtip = Output(Vec(numCores, Bool()))
19*2225d46eSJiawei Lin      val msip = Output(Vec(numCores, Bool()))
20799b61e0SLinJiawei    })
21618fb109Slinjiawei
22618fb109Slinjiawei    val mtime = RegInit(0.U(64.W))  // unit: us
23*2225d46eSJiawei Lin    val mtimecmp = Seq.fill(numCores)(RegInit(0.U(64.W)))
24*2225d46eSJiawei Lin    val msip = Seq.fill(numCores)(RegInit(0.U(32.W)))
25618fb109Slinjiawei
26e2b04952SYinan Xu    val clk = (if (!sim) 1000000 /* 40MHz / 1000000 */ else 100)
27298aa395SYinan Xu    val freq = RegInit(clk.U(64.W))
28298aa395SYinan Xu    val inc = RegInit(1.U(64.W))
29618fb109Slinjiawei
30298aa395SYinan Xu    val cnt = RegInit(0.U(64.W))
31618fb109Slinjiawei    val nextCnt = cnt + 1.U
32618fb109Slinjiawei    cnt := Mux(nextCnt < freq, nextCnt, 0.U)
33618fb109Slinjiawei    val tick = (nextCnt === freq)
34618fb109Slinjiawei    when (tick) { mtime := mtime + inc }
35618fb109Slinjiawei
360668d426Swangkaifan    var clintMapping = Seq(
37618fb109Slinjiawei      0x8000 -> RegField.bytes(freq),
38618fb109Slinjiawei      0x8008 -> RegField.bytes(inc),
390668d426Swangkaifan      0xbff8 -> RegField.bytes(mtime))
400668d426Swangkaifan
41*2225d46eSJiawei Lin    for (i <- 0 until numCores) {
420668d426Swangkaifan      clintMapping = clintMapping ++ Seq(
430668d426Swangkaifan        0x0000 + i*4 -> RegField.bytes(msip(i)),
440668d426Swangkaifan        0x4000 + i*8 -> RegField.bytes(mtimecmp(i))
45618fb109Slinjiawei      )
460668d426Swangkaifan    }
470668d426Swangkaifan
480668d426Swangkaifan    node.regmap( mapping = clintMapping:_* )
49618fb109Slinjiawei
501865a66fSlinjiawei    val in = node.in.head._1
511865a66fSlinjiawei    when(in.a.valid){
521865a66fSlinjiawei      XSDebug("[A] channel valid ready=%d ", in.a.ready)
531865a66fSlinjiawei      in.a.bits.dump
541865a66fSlinjiawei    }
551865a66fSlinjiawei
56*2225d46eSJiawei Lin    for (i <- 0 until numCores) {
570668d426Swangkaifan      io.mtip(i) := RegNext(mtime >= mtimecmp(i))
580668d426Swangkaifan      io.msip(i) := RegNext(msip(i) =/= 0.U)
590668d426Swangkaifan    }
60618fb109Slinjiawei  }
61618fb109Slinjiawei}
62