1d7763dc0SZihao Yupackage device 2d7763dc0SZihao Yu 3d7763dc0SZihao Yuimport chisel3._ 4d7763dc0SZihao Yuimport chisel3.util._ 5d7763dc0SZihao Yuimport bus.axi4._ 6*956d83c0Slinjiaweiimport chipsalliance.rocketchip.config.Parameters 7*956d83c0Slinjiaweiimport chisel3.util.experimental.BoringUtils 8*956d83c0Slinjiaweiimport freechips.rocketchip.diplomacy.AddressSet 9d7763dc0SZihao Yuimport utils._ 10d7763dc0SZihao Yu 11a428082bSLinJiaweiclass UARTIO extends Bundle { 12a428082bSLinJiawei val out = new Bundle { 13a428082bSLinJiawei val valid = Output(Bool()) 14b65ec060SZihao Yu val ch = Output(UInt(8.W)) 15b65ec060SZihao Yu } 16a428082bSLinJiawei val in = new Bundle { 17a428082bSLinJiawei val valid = Output(Bool()) 18d18aeea6SLinJiawei val ch = Input(UInt(8.W)) 19a428082bSLinJiawei } 20d18aeea6SLinJiawei} 21d18aeea6SLinJiawei 22*956d83c0Slinjiaweiclass AXI4UART 23*956d83c0Slinjiawei( 24*956d83c0Slinjiawei address: AddressSet 25*956d83c0Slinjiawei)(implicit p: Parameters) 26*956d83c0Slinjiawei extends AXI4SlaveModule(address, executable = false, _extra = new UARTIO) 27*956d83c0Slinjiawei{ 28*956d83c0Slinjiawei override lazy val module = new AXI4SlaveModuleImp[UARTIO](this){ 29d7763dc0SZihao Yu val rxfifo = RegInit(0.U(32.W)) 30d7763dc0SZihao Yu val txfifo = Reg(UInt(32.W)) 31d7763dc0SZihao Yu val stat = RegInit(1.U(32.W)) 32d7763dc0SZihao Yu val ctrl = RegInit(0.U(32.W)) 33d7763dc0SZihao Yu 34a428082bSLinJiawei io.extra.get.out.valid := (waddr(3,0) === 4.U && in.w.fire()) 35a428082bSLinJiawei io.extra.get.out.ch := in.w.bits.data(7,0) 36a428082bSLinJiawei io.extra.get.in.valid := (raddr(3,0) === 0.U && ren) 37d7763dc0SZihao Yu 38d7763dc0SZihao Yu val mapping = Map( 39a428082bSLinJiawei RegMap(0x0, io.extra.get.in.ch, RegMap.Unwritable), 40d18aeea6SLinJiawei RegMap(0x4, txfifo), 41d7763dc0SZihao Yu RegMap(0x8, stat), 42d7763dc0SZihao Yu RegMap(0xc, ctrl) 43d7763dc0SZihao Yu ) 44d7763dc0SZihao Yu 45d7763dc0SZihao Yu RegMap.generate(mapping, raddr(3,0), in.r.bits.data, 46*956d83c0Slinjiawei waddr(3,0), in.w.fire(), in.w.bits.data, MaskExpand(in.w.bits.strb >> waddr(2,0)) 47*956d83c0Slinjiawei ) 48*956d83c0Slinjiawei } 49d7763dc0SZihao Yu} 50