1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17d7763dc0SZihao Yupackage device 18d7763dc0SZihao Yu 19d7763dc0SZihao Yuimport chisel3._ 20d7763dc0SZihao Yuimport chisel3.util._ 218891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 22956d83c0Slinjiaweiimport freechips.rocketchip.diplomacy.AddressSet 23d7763dc0SZihao Yuimport utils._ 243c02ee8fSwakafaimport utility._ 25a3e87608SWilliam Wangimport difftest._ 26d18aeea6SLinJiawei 27956d83c0Slinjiaweiclass AXI4UART 28956d83c0Slinjiawei( 29a2e9bde6SAllen address: Seq[AddressSet] 30956d83c0Slinjiawei)(implicit p: Parameters) 31956d83c0Slinjiawei extends AXI4SlaveModule(address, executable = false, _extra = new UARTIO) 32956d83c0Slinjiawei{ 33956d83c0Slinjiawei override lazy val module = new AXI4SlaveModuleImp[UARTIO](this){ 34d7763dc0SZihao Yu val rxfifo = RegInit(0.U(32.W)) 35d7763dc0SZihao Yu val txfifo = Reg(UInt(32.W)) 3685f365a7Sceba val stat = RegInit(0.U(32.W)) 37d7763dc0SZihao Yu val ctrl = RegInit(0.U(32.W)) 38d7763dc0SZihao Yu 39*bdc16061SYangyu Chen val txDataPos = (4 % node.portParams.head.beatBytes) * 8; 40*bdc16061SYangyu Chen 41935edac4STang Haojin io.extra.get.out.valid := (waddr(3,0) === 4.U && in.w.fire) 42*bdc16061SYangyu Chen io.extra.get.out.ch := in.w.bits.data(7 + txDataPos, txDataPos) 43935edac4STang Haojin io.extra.get.in.valid := (raddr(3,0) === 0.U && in.r.fire) 44d7763dc0SZihao Yu 45d7763dc0SZihao Yu val mapping = Map( 46a428082bSLinJiawei RegMap(0x0, io.extra.get.in.ch, RegMap.Unwritable), 47d18aeea6SLinJiawei RegMap(0x4, txfifo), 48d7763dc0SZihao Yu RegMap(0x8, stat), 49d7763dc0SZihao Yu RegMap(0xc, ctrl) 50d7763dc0SZihao Yu ) 51d7763dc0SZihao Yu 52d7763dc0SZihao Yu RegMap.generate(mapping, raddr(3,0), in.r.bits.data, 53935edac4STang Haojin waddr(3,0), in.w.fire, in.w.bits.data, MaskExpand(in.w.bits.strb >> waddr(2,0)) 54956d83c0Slinjiawei ) 55956d83c0Slinjiawei } 56d7763dc0SZihao Yu} 57