xref: /XiangShan/src/main/scala/device/AXI4IntrGenerator.scala (revision c6d439803a044ea209139672b25e35fe8d7f4aa0)
1*c6d43980SLemover/***************************************************************************************
2*c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3*c6d43980SLemover*
4*c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
5*c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
6*c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
7*c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
8*c6d43980SLemover*
9*c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
10*c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
11*c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
12*c6d43980SLemover*
13*c6d43980SLemover* See the Mulan PSL v2 for more details.
14*c6d43980SLemover***************************************************************************************/
15*c6d43980SLemover
16b6a21a24SYinan Xupackage device
17b6a21a24SYinan Xu
18b6a21a24SYinan Xuimport chisel3._
19b6a21a24SYinan Xuimport chisel3.util._
20b6a21a24SYinan Xuimport chipsalliance.rocketchip.config.Parameters
21b6a21a24SYinan Xuimport freechips.rocketchip.diplomacy.AddressSet
22b6a21a24SYinan Xuimport utils._
23b6a21a24SYinan Xu
24b6a21a24SYinan Xu// we support 256 interrupt bits by default
25b6a21a24SYinan Xuclass IntrGenIO extends Bundle {
26b6a21a24SYinan Xu  val intrVec = Output(UInt(256.W))
27b6a21a24SYinan Xu}
28b6a21a24SYinan Xu
29b6a21a24SYinan Xuclass AXI4IntrGenerator
30b6a21a24SYinan Xu(
31b6a21a24SYinan Xu  address: Seq[AddressSet]
32b6a21a24SYinan Xu)(implicit p: Parameters)
33b6a21a24SYinan Xu  extends AXI4SlaveModule(address, executable = false, _extra = new IntrGenIO)
34b6a21a24SYinan Xu{
35b6a21a24SYinan Xu
36b6a21a24SYinan Xu  override lazy val module = new AXI4SlaveModuleImp(this){
37b6a21a24SYinan Xu
38b6a21a24SYinan Xu    val intrReg = RegInit(VecInit(Seq.fill(8)(0.U(32.W))))
39b6a21a24SYinan Xu    io.extra.get.intrVec := Cat(intrReg.reverse)
40b6a21a24SYinan Xu
41b6a21a24SYinan Xu    when (in.w.fire()) {
42b6a21a24SYinan Xu      intrReg(waddr(4, 2)) := in.w.bits.data(31, 0)
43b6a21a24SYinan Xu    }
44b6a21a24SYinan Xu
45b6a21a24SYinan Xu    in.r.bits.data := intrReg(raddr)
46b6a21a24SYinan Xu  }
47b6a21a24SYinan Xu}
48