1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17b6a21a24SYinan Xupackage device 18b6a21a24SYinan Xu 19b6a21a24SYinan Xuimport chisel3._ 20b6a21a24SYinan Xuimport chisel3.util._ 218891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 22b6a21a24SYinan Xuimport freechips.rocketchip.diplomacy.AddressSet 23b6a21a24SYinan Xuimport utils._ 243c02ee8fSwakafaimport utility._ 25b6a21a24SYinan Xu 26b6a21a24SYinan Xu// we support 256 interrupt bits by default 27b6a21a24SYinan Xuclass IntrGenIO extends Bundle { 28151b6d60SYinan Xu val intrVec = Output(UInt(64.W)) 29b6a21a24SYinan Xu} 30b6a21a24SYinan Xu 31b6a21a24SYinan Xuclass AXI4IntrGenerator 32b6a21a24SYinan Xu( 33b6a21a24SYinan Xu address: Seq[AddressSet] 34b6a21a24SYinan Xu)(implicit p: Parameters) 35b6a21a24SYinan Xu extends AXI4SlaveModule(address, executable = false, _extra = new IntrGenIO) 36b6a21a24SYinan Xu{ 37b6a21a24SYinan Xu 38b6a21a24SYinan Xu override lazy val module = new AXI4SlaveModuleImp(this){ 39b6a21a24SYinan Xu 40151b6d60SYinan Xu val intrGenRegs = RegInit(VecInit(Seq.fill(8)(0.U(32.W)))) 41151b6d60SYinan Xu 42151b6d60SYinan Xu // 0x0 - 0x8 43151b6d60SYinan Xu val intrReg = VecInit(intrGenRegs.take(2)) 44151b6d60SYinan Xu // 0x8 - 0x10 45151b6d60SYinan Xu val randEnable = VecInit(intrGenRegs.slice(2, 4)) 46151b6d60SYinan Xu // 0x10 47151b6d60SYinan Xu val randMask = intrGenRegs(4) 48151b6d60SYinan Xu val randCounter = intrGenRegs(5) 49151b6d60SYinan Xu val randThres = intrGenRegs(6) 50151b6d60SYinan Xu 51151b6d60SYinan Xu val randomPosition = LFSR64()(5, 0) 52151b6d60SYinan Xu val randomCondition = randCounter === randThres && randEnable(randomPosition(5))(randomPosition(4, 0)) 53151b6d60SYinan Xu randCounter := randCounter + 1.U 54151b6d60SYinan Xu when (randomCondition) { 55151b6d60SYinan Xu intrGenRegs(randomPosition(5)) := intrReg(randomPosition(5)) | UIntToOH(randomPosition(4, 0)) 56151b6d60SYinan Xu } 57151b6d60SYinan Xu 58b6a21a24SYinan Xu io.extra.get.intrVec := Cat(intrReg.reverse) 59b6a21a24SYinan Xu 60964c1fbcSYinan Xu // Delay the intr gen for 1000 cycles. 61964c1fbcSYinan Xu val delayCycles = 1000 62964c1fbcSYinan Xu var w_fire = in.w.fire && in.w.bits.data =/= 0.U 63964c1fbcSYinan Xu for (i <- 0 until delayCycles) { 64964c1fbcSYinan Xu w_fire = RegNext(w_fire, init=false.B) 65964c1fbcSYinan Xu } 66*ad7236cdSTang Haojin val dataWidth = in.w.bits.data.getWidth 67*ad7236cdSTang Haojin val w_data = 68*ad7236cdSTang Haojin if (dataWidth == 32) 69*ad7236cdSTang Haojin in.w.bits.data 70*ad7236cdSTang Haojin else { 71*ad7236cdSTang Haojin val addrCandidate = waddr(log2Ceil(dataWidth / 8) - 1, 2) 72*ad7236cdSTang Haojin Mux1H( 73*ad7236cdSTang Haojin Seq.tabulate(dataWidth / 32)( 74*ad7236cdSTang Haojin i => (addrCandidate === i.U, in.w.bits.data(i * 32 + 31, i * 32)) 75*ad7236cdSTang Haojin ) 76*ad7236cdSTang Haojin ) 77*ad7236cdSTang Haojin } 78*ad7236cdSTang Haojin val w_data_delayed = DelayN(w_data, delayCycles) 79964c1fbcSYinan Xu when (w_fire) { 80*ad7236cdSTang Haojin intrGenRegs(DelayN(waddr(4, 2), delayCycles)) := w_data_delayed 81964c1fbcSYinan Xu } 82964c1fbcSYinan Xu // Clear takes effect immediately 83964c1fbcSYinan Xu when (in.w.fire && in.w.bits.data === 0.U) { 84964c1fbcSYinan Xu intrGenRegs(waddr(4, 2)) := 0.U 85964c1fbcSYinan Xu } 86964c1fbcSYinan Xu // write resets the threshold and counter 87964c1fbcSYinan Xu when (in.w.fire && in.w.bits.data === 0.U || w_fire) { 88151b6d60SYinan Xu randThres := LFSR64() & randMask 89151b6d60SYinan Xu randCounter := 0.U 90b6a21a24SYinan Xu } 91b6a21a24SYinan Xu 92b6a21a24SYinan Xu in.r.bits.data := intrReg(raddr) 93b6a21a24SYinan Xu } 94b6a21a24SYinan Xu} 95